Intel FPGA (6):dac tlv5618a

Intel FPGA (6):dac tlv5618a

前提摘要

  1. 个人说明:

    • 限于时间紧迫以及作者水平有限,本文错误、疏漏之处恐不在少数,恳请读者批评指正。意见请留言或者发送邮件至:“Email:noahpanzzz@gmail.com”
    • 本博客的工程文件均存放在:GitHub:https://github.com/panziping。
    • 本博客的地址:CSDN:https://blog.csdn.net/ZipingPan
  2. 参考:

    • 芯片型号:Intel EP4CE10F17C8(Cyclone IV E)
    • 《数字电子技术基础》-阎石
    • 《FPGA自学笔记—设计与验证》袁玉卓,曾凯锋,梅雪松
    • 《Verilog 数字系统设计教程》夏宇闻
    • 《Verilog HDL 高级数字设计》Michael D.Ciletti
    • 《Intel FPGA/CPLD设计》(基础篇)王欣 王江宏等
    • 《Intel FPGA/CPLD设计》(高级篇)王江宏 蔡海宁等
    • 《综合与时序分析的设计约束 Synopsys设计约束(SDC)实用指南》Sridhar Gangadharan
  3. 日期:

    • 2024-01-01

正文

数字模拟转换器(英语:Digital to analog converter,英文缩写:DAC)是一种将数字信号转换为模拟信号(以电流、电压或电荷的设备。

电流型DAC和电压型DAC是两种常见的数字模拟转换器类型,它们在设计和应用方面有所不同。以下是比较电流型DAC和电压型DAC的一些因素:

  • 输出形式:电流型DAC以电流形式输出模拟信号,而电压型DAC以电压形式输出模拟信号。这意味着电流型DAC的输出是通过传递电流来实现的,而电压型DAC的输出是通过产生电压来实现的。
  • 负载匹配:电流型DAC通常具有较低的输出阻抗,这使得它们对负载变化更具有稳定性。相比之下,电压型DAC的输出阻抗较高,需要进行额外的负载匹配以确保输出电压的稳定性。
  • 功耗:电流型DAC通常具有较低的功耗,因为它们不需要经过额外的缓冲放大器来驱动负载。电压型DAC则可能需要额外的缓冲放大器来提供足够的电流驱动能力,从而增加功耗。
  • 动态范围:在一些应用中,电流型DAC具有更广泛的动态范围,可以提供更高的分辨率和更精确的模拟输出。电压型DAC的动态范围可能受限于电源供应和输出缓冲电路的限制。

综上所述,选择电流型DAC还是电压型DAC取决于具体的应用需求。电流型DAC通常适用于对输出负载变化敏感、功耗要求较低且需要较高动态范围的应用。而电压型DAC则适用于对输出电压稳定性要求较高、对负载匹配较为灵活的应用。

本篇采用的DAC芯片是TLV5618A。这是一款双通道 ,12bit的电压输出型DAC。

硬件电路

在这里插入图片描述

TLV5618A

这里截取了74HC595的部分数据手册,读者自行阅读。

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

波形图

在这里插入图片描述

代码展示

module tlv5618_driver(clk,rst_n,dac_data,dac_load_en_go,cs_n,sclk,din,dac_convert_busy
);input 		 clk;input 		 rst_n;input [15:0] dac_data;input 		 dac_load_en_go;output 		 cs_n;output 		 sclk;output 		 din;output 		 dac_convert_busy;reg [15:0] r_dac_data;always@(posedge clk or negedge rst_n) beginif(!rst_n)r_dac_data <= 16'd0;else if(dac_load_en_go == 1'b1)r_dac_data <= dac_data;elser_dac_data <= r_dac_data;endlocalparam SPI_CLK = 12_500_000;localparam SYS_FREQ = 50_000_000;localparam SPI_CLK_DR =  SYS_FREQ / SPI_CLK;	//freq = 12.5Mhz,Fmax = 20Mhzreg r_dac_convert_en;wire w_dac_convert_end;always@(posedge clk or negedge rst_n) beginif(!rst_n)r_dac_convert_en <= 1'b0;else if(dac_load_en_go == 1'b1)r_dac_convert_en <= 1'b1;else if(w_dac_convert_end == 1'b1)r_dac_convert_en <= 1'b0;elser_dac_convert_en <= r_dac_convert_en;endassign dac_convert_busy = ~r_dac_convert_en;reg [$clog2(SPI_CLK_DR)-1:0]r_sclk_cnt;wire w_sclk_pluse;always@(posedge clk or negedge rst_n) beginif(!rst_n)r_sclk_cnt <= 'd0;else if(r_dac_convert_en == 1'b1) beginif(r_sclk_cnt == SPI_CLK_DR - 1'd1)r_sclk_cnt <= 'd0;else	r_sclk_cnt <= r_sclk_cnt + 1'd1;endelser_sclk_cnt <= 'd0;endassign w_sclk_pluse = (r_sclk_cnt == 'd1) ? 1'b1 : 1'b0;reg [5:0] r_bit_cnt;always@(posedge clk or negedge rst_n) beginif(!rst_n)r_bit_cnt <= 'd0;else if(r_dac_convert_en == 1'b1) beginif(w_sclk_pluse == 1'b1)r_bit_cnt <= r_bit_cnt + 1'b1;else r_bit_cnt <= r_bit_cnt;endelser_bit_cnt <= 'd0;endassign w_dac_convert_end = (r_bit_cnt == 6'd35) ? 1'b1 : 1'b0;reg r_sclk;reg r_cs_n;reg r_din;always@(posedge clk or negedge rst_n) beginif(!rst_n) beginr_cs_n <= 1'b1;r_din <= 1'b0;r_sclk <= 1'b0;endelse begincase(r_bit_cnt)6'd0 : begin r_cs_n <= 1'b1; r_din <= 1'b0; r_sclk <= 1'b0; end6'd1 : begin r_cs_n <= 1'b0; r_din <= 1'b0; r_sclk <= 1'b0; end6'd2 : begin r_din <= r_dac_data[15]; r_sclk <= 1'b1; end6'd3 : begin r_sclk <= 1'b0; end6'd4 : begin r_din <= r_dac_data[14]; r_sclk <= 1'b1; end6'd5 : begin r_sclk <= 1'b0; end6'd6 : begin r_din <= r_dac_data[13]; r_sclk <= 1'b1; end6'd7 : begin r_sclk <= 1'b0; end	6'd8 : begin r_din <= r_dac_data[12]; r_sclk <= 1'b1; end6'd9 : begin r_sclk <= 1'b0; end		6'd10 : begin r_din <= r_dac_data[11]; r_sclk <= 1'b1; end6'd11 : begin r_sclk <= 1'b0; end			6'd12 : begin r_din <= r_dac_data[10]; r_sclk <= 1'b1; end6'd13 : begin r_sclk <= 1'b0; end				6'd14 : begin r_din <= r_dac_data[9]; r_sclk <= 1'b1; end6'd15 : begin r_sclk <= 1'b0; end		6'd16 : begin r_din <= r_dac_data[8]; r_sclk <= 1'b1; end6'd17 : begin r_sclk <= 1'b0; end		6'd18 : begin r_din <= r_dac_data[7]; r_sclk <= 1'b1; end6'd19 : begin r_sclk <= 1'b0; end		6'd20 : begin r_din <= r_dac_data[6]; r_sclk <= 1'b1; end6'd21 : begin r_sclk <= 1'b0; end		6'd22 : begin r_din <= r_dac_data[5]; r_sclk <= 1'b1; end6'd23 : begin r_sclk <= 1'b0; end		6'd24 : begin r_din <= r_dac_data[4]; r_sclk <= 1'b1; end6'd25 : begin r_sclk <= 1'b0; end		6'd26 : begin r_din <= r_dac_data[3]; r_sclk <= 1'b1; end6'd27 : begin r_sclk <= 1'b0; end6'd28 : begin r_din <= r_dac_data[2]; r_sclk <= 1'b1; end6'd29 : begin r_sclk <= 1'b0; end	6'd30 : begin r_din <= r_dac_data[1]; r_sclk <= 1'b1; end6'd31 : begin r_sclk <= 1'b0; end		6'd32 : begin r_din <= r_dac_data[0]; r_sclk <= 1'b1; end6'd33 : begin r_sclk <= 1'b0; end			6'd34 : begin r_cs_n <= 1'b0; r_din <= 1'b0; r_sclk <= 1'b1; end  //notes:the next positive clock edge following the 16th falling clock edge.6'd35 : begin r_cs_n <= 1'b1; r_din <= 1'b0; r_sclk <= 1'b0; enddefault:begin r_cs_n <= 1'b1; r_din <= 1'b0; r_sclk <= 1'b0; endendcaseendendassign sclk = r_sclk;assign cs_n = r_cs_n;assign din = r_din;endmodule

TLV5618驱动代码有几点需要注意:

  1. 由硬件电路可知TLV5618的参考电压为2.048V,根据DAC输出公式可知 2 R E F C O D E 2 n 2REF\frac{CODE}{2^{n}} 2REF2nCODEV,需要注意TLV5618在输出端接了一个放大倍数两倍的放大器;CODE的范围是0 ~ ( 2 n − 1 ) (2^{n}-1) (2n1),n=12,所以CODE的范围为0~4095。
    V o u t = 2 ∗ 2.048 ∗ C O D E 2 12 V_{out} = 2 * 2.048 *\frac{CODE}{2^{12}} Vout=22.048212CODE

  2. 本设计中SCLK的频率是12.5MHz,那么可以得到本设计中 t s u ( C S − C K ) t_{su(CS-CK)} tsu(CSCK)=80ns, t s u ( C 16 − C S ) t_{su(C16-CS)} tsu(C16CS)=80ns。如果需要设计数据连续发送时,本设计一次发送周期需要1400ns,此时需要注意与 t s ( F S ) t_{s(FS)} ts(FS)的值进行比较,要不然会导致精度下降,所以需要延迟一段时间用来满足设计需求

  3. SCLK需要注意第16个下降沿之后还需要在产生一次上升沿!!!,这样数据才能送到保持寄存器或者控制寄存器。

总结

本工程名为adda,如有需要请至github仓库查看!!!


本文均为原创,欢迎转载,请注明文章出处:CSDN:https://blog.csdn.net/ZipingPan。百度和各类采集站皆不可信,搜索请谨慎鉴别。技术类文章一般都有时效性,本人习惯不定期对自己的博文进行修正和更新,因此请访问出处以查看本文的最新版本。

非原创博客会在文末标注出处,由于时效原因,可能并不是原创作者地址(已经无法溯源)。


本文均为原创,欢迎转载,请注明文章出处:CSDN:https://blog.csdn.net/ZipingPan。百度和各类采集站皆不可信,搜索请谨慎鉴别。技术类文章一般都有时效性,本人习惯不定期对自己的博文进行修正和更新,因此请访问出处以查看本文的最新版本。

非原创博客会在文末标注出处,由于时效原因,可能并不是原创作者地址(已经无法溯源)。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/786665.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Cesium 批量种树

1、准备树种建模 分各种级别建模LOD1-LODN 其中meta.json长这样&#xff1a; Gltf再3Dmax中导出Obj,再通过ObjToGltf的工具转换&#xff0c;参考 https://editor.csdn.net/md/?articleId96484597 2、准备shp点数据。&#xff08;shp中的点位就是种树的位置&#xff09; 3、准…

R语言技能 | 不同数据类型的转换

原文链接&#xff1a;R语言技能 | 不同数据类型的转换 本期教程 写在前面 今天是4月份的第一天&#xff0c;再过2天后再一次迎来清明小假期。木鸡大家是否正常放假呢&#xff1f; 我们在使用R语言做数据分析时&#xff0c;会一直对数据进行不同类型的转换&#xff0c;有时候…

String Encryptor custom Bean not found with name ‘jasyptStringEncryptor‘...

项目采用 spring boot 2.6.13 jasypt-spring-boot-starter 3.0.5 apollo-client 1.6.0 自定义jasyptStringEncryptor&#xff0c;服务器上启动死活报找不到bean jasyptStringEncryptor&#xff0c;采用默认的&#xff0c;密文配置项自然解密失败导致服务无法启动。 经过一…

海康Ehome2.0与5.0设备接入EasyCVR视频汇聚平台时的配置区别

安防视频监控/视频集中存储/云存储/磁盘阵列EasyCVR平台可拓展性强、视频能力灵活、部署轻快&#xff0c;可支持的主流标准协议有国标GB28181、RTSP/Onvif、RTMP等&#xff0c;以及支持厂家私有协议与SDK接入&#xff0c;包括海康Ehome、海大宇等设备的SDK等。平台既具备传统安…

plasmo内容UI组件层级过高导致页面展示错乱

我使用plasmo写了一个行内样式的UI组件&#xff0c;但是放到页面上之后&#xff0c;会和下拉组件出现层级错乱&#xff0c;看了一下样式&#xff0c;吓我一跳&#xff1a;层级竟然设置的如此之高 所以就需要将层级设置低一点&#xff1a; #plasmo-shadow-container {z-index: …

【计算机网络】select/poll

多路转接 - select/poll 一、I/O 多路转接之 select1. select 接口2. select 的使用3. select 的优缺点 二、I/O 多路转接之 poll1. poll 接口2. poll 的使用3. poll 与 select 的对比 一、I/O 多路转接之 select 多路转接属于 IO 复用方式的一种。系统提供 select() 函数来实…

R语言,数据类型转换

原文链接&#xff1a;R语言技能 | 不同数据类型的转换 本期教程 写在前面 今天是4月份的第一天&#xff0c;再过2天后再一次迎来清明小假期。木鸡大家是否正常放假呢&#xff1f; 我们在使用R语言做数据分析时&#xff0c;会一直对数据进行不同类型的转换&#xff0c;有时候…

百度网站收录提交入口

百度网站收录提交入口 在网站刚建立或者更新内容后&#xff0c;及时将网站提交给搜索引擎是提高网站曝光和获取流量的重要步骤之一。百度作为中国最大的搜索引擎之一&#xff0c;网站在百度中的收录情况尤为重要。下面介绍一下如何通过百度的网站收录提交入口提交网站。 1. 百…

代码随想录算法训练营第39天|62.不同路径 |63. 不同路径 II

代码随想录算法训练营第39天|62.不同路径 |63. 不同路径 II 详细布置 62.不同路径 本题大家掌握动态规划的方法就可以。 数论方法 有点非主流&#xff0c;很难想到。 https://programmercarl.com/0062.%E4%B8%8D%E5%90%8C%E8%B7%AF%E5%BE%84.html 视频讲解&#xff1a;https…

封装表格组件,最后一列动态生成 vue3子组件通过slot传值向父组件

将表格二次封装&#xff0c;方便以后开发中的复用。每次只需调用表格组件后&#xff0c;在父组件中往子组件标签上写入dataSource&#xff08;表格数据&#xff09;和columns&#xff08;表格列标题&#xff09;即可。 此案例中最后一列是删除按钮&#xff0c;动态生成&#xf…

Spire.PDF for .NET【文档操作】演示:合并 PDF 文件并添加页码

搜索了这么多有关 PDF 合并的信息后&#xff0c;很容易发现&#xff0c;无论您在线合并 PDF 文件还是使用 C#/VB.NET 来实现此任务&#xff0c;您都无法逃避对 PDF 文件安全等一些重要问题的担忧&#xff0c;因此需要花费多少时间或者合并后的文件是否支持打印页码等等。不过&a…

【Frida】【Android】 07_爬虫之网络通信库HttpURLConnection

&#x1f6eb; 系列文章导航 【Frida】【Android】01_手把手教你环境搭建 https://blog.csdn.net/kinghzking/article/details/136986950【Frida】【Android】02_JAVA层HOOK https://blog.csdn.net/kinghzking/article/details/137008446【Frida】【Android】03_RPC https://bl…

Word、Excel、PPT文件转PDF文件(C#)

一、添加依赖 为wpf项目引用Microsoft.Office.Interop.Excel、Microsoft.Office.Interop.PowerPoint、Microsoft.Office.Interop.Word、Office&#xff0c;依赖文件已经打到源代码包里了。 二、先定义一些命名空间 using Word Microsoft.Office.Interop.Word;using Excel M…

零基础入门转录组数据分析——DESeq2差异分析

零基础入门转录组数据分析——DESeq2差异分析 目录 零基础入门转录组数据分析——DESeq2差异分析1. 转录组分析基础知识2. DESeq2差异分析&#xff08;Rstudio&#xff09;3. 结语 1. 转录组分析基础知识 1.1 什么是转录组&#xff1f; 转录组&#xff08;transcriptome&#…

n1.线性表及其实现

1.引入—多项式表示 对于多项式&#xff0c;如何使用程序进行编写呢&#xff1f; 方法一&#xff1a;一维数组。下标对应未知数的指数&#xff0c;元素个体对应系数。缺点就是都得全部表示&#xff0c;系数为0项的存在浪费空间。例如&#xff0c;x只有一次方和2000次方&#…

MySQL、Oracle查看字节和字符长度个数的函数

目录 0. 总结1. MySQL1.1. 造数据1.2. 查看字符/字节个数 2. Oracle2.1. 造数据2.2. 查看字符/字节个数 0. 总结 databasecharacterbyteMySQLchar_length()length()Oraclelength()lengthB() 1. MySQL 1.1. 造数据 drop table if exists demo; create table demo (id …

手机一键换ip地址,解锁网络自由

在数字化时代&#xff0c;手机已经成为我们生活中不可或缺的一部分。随着移动互联网的快速发展&#xff0c;手机用户对于网络安全和隐私保护的需求也日益增强。其中&#xff0c;IP地址作为手机在网络中的标识&#xff0c;扮演着重要的角色。有时&#xff0c;出于隐私保护或网络…

WebSocket用户验证

在WebSocket中&#xff0c;如何携带用户的验证信息 一、在OnMessage中进行验证 客户端在连接到服务器后&#xff0c;客户端通过发送消息&#xff0c;服务器端在OnMessage方法中&#xff0c;进行信息验证&#xff0c;这种方式需要将用户身份验证及接收用户消息进行混合处理&am…

Python人工智能:推动气象科学研究与技术进步的新动力

Python是功能强大、免费、开源&#xff0c;实现面向对象的编程语言&#xff0c;在数据处理、科学计算、数学建模、数据挖掘和数据可视化方面具备优异的性能&#xff0c;这些优势使得Python在气象、海洋、地理、气候、水文和生态等地学领域的科研和工程项目中得到广泛应用。可以…