2024年数字IC秋招-沐曦-GPU验证-笔试题

文章目录

  • 前言
  • 一、选择题
    • 1. [多选]在Verilog里面,==操作的可能的结果是
    • 2. [单选]在Verilog里面,如果想在逻辑中引入延时,可以使用
    • 3. [单选]如果X= 4'b1100,那么以下哪条语句会被执行?
    • 4. [多选]在Verilog里面,以下哪些对于操作符的描述是正确的
    • 5. [单选]在Verilog里面,如果设置timescale,以便能够实现0.3ps的delay,以及仿真的步长是1ps
    • 6. [单选]扫描链在以下哪个步骤被插入?
    • 7. [单选]在Verilog里面,以下哪些描述对于non-blocking assignment是正确的
    • 8. [单选]一个元件的时主要取决于以下哪些因素
    • 9. [单选]如果A=4'b0011, B=3'b110, C=4'b1010,那么表达式下面表达式的结果为?
    • 10. [单选]在Verilog里面,以下哪个命令可以结束当前的仿真
    • 11. [单选]在Verilog里面,以下哪个操作符可以触发一个事件
    • 12. [单选]在Verilog的描述里面,#10 clock = ~clock,对应的clock的周期是的多少
    • 13、 [多选] 在verilog里面,@posedge包含下面哪些情况
    • 14. [多选]在Verilog里面,以下哪些对于多维数组的初始化是正确的
    • 15. [多选]在Verilog里面,对于赋值中出现位宽不匹配的后果的描述正确的是(
  • 二、问答题
    • 1、UVM中,active mode和passive mode有什么区别
    • 2、请用任何你熟悉的脚本语言,比如Perl,python, tcl/tk或者shell脚本从'013adbGh'中检测出字符串'adb',返回1,如果检测到对应的字符串,否则返回'0'.
    • 3、下面是一个4位半加器的实现,以及一个用verilog编写的testbend以便实现自动化验证。请补充完testbendh里面缺少的代码


前言

笔试题型:选择题(15个) + 简答题(3个)
笔试平台:Showmebug
笔试时间:1h


一、选择题

1. [多选]在Verilog里面,==操作的可能的结果是

A. 1
B. Z
C. 0
D. X


2. [单选]在Verilog里面,如果想在逻辑中引入延时,可以使用

A. XOR gate
B. Inverter
C. Flip-flops
D. Buffer


3. [单选]如果X= 4’b1100,那么以下哪条语句会被执行?

wire [3:0] x;
always @(...) begincase (1'b1)x[0]: SOMETHING1;x[1]: SOMETHING2;x[2]: SOMETHING3;x[3]: SOMETHING4;endcase
end

A. SOMETHING4
B. SOMETHING3
C.SOMETHING2
D. SOMETHING1


4. [多选]在Verilog里面,以下哪些对于操作符的描述是正确的

A. A logical “or” is performed by writing “C=A||B”
B.”~“performs logical negation while”!" performs bitwise negation
C.The two types of “or” operators are “logical” and “bitwise.’
D.The 'shift right” (>>) operator inserts zeros on the left et d of its argument


5. [单选]在Verilog里面,如果设置timescale,以便能够实现0.3ps的delay,以及仿真的步长是1ps

A. timescale 1ps/1ps
B. timescale 100ps/1ps
C. timescale 10ps/1ps
D. timescale 1ps/0.1ps


6. [单选]扫描链在以下哪个步骤被插入?

A. RTL design stage
B. After Clock Tree Synthesi
C. After Place & Route
D. After Synthesis


7. [单选]在Verilog里面,以下哪些描述对于non-blocking assignment是正确的

A. Evaluates all RHS for the current time unit and assign to LHS at the current time
B. Both of the above options are correct, depending on the specific case
C. None of the options are correct
D. Evaluates all RHS(right hand side) for the current time unit and assign to LHS(left hand side) at the end of time unit


8. [单选]一个元件的时主要取决于以下哪些因素

A Input transition & output transition
B. Input load & output load
C. Input transition & output load
D. Output transition & input load


9. [单选]如果A=4’b0011, B=3’b110, C=4’b1010,那么表达式下面表达式的结果为?

{2{~|A}} | (B[2:1] & C[1:0])

A. 10
B. 11
C. 00
D. 01


10. [单选]在Verilog里面,以下哪个命令可以结束当前的仿真

A. $end
B. $close
C. $finish
D. $stop


11. [单选]在Verilog里面,以下哪个操作符可以触发一个事件

A. -->
B. ==
C. @
D. =>


12. [单选]在Verilog的描述里面,#10 clock = ~clock,对应的clock的周期是的多少

A. 5
B. None
C.10
D. 20


13、 [多选] 在verilog里面,@posedge包含下面哪些情况

A. 1->0
B. 0->1, x, or z
C. x->1
D. z->1, or x


14. [多选]在Verilog里面,以下哪些对于多维数组的初始化是正确的

A. a[0][0] =1’b0
B. All of the options are correct
C. reg [7:0] a[15:0] =16’h0000
D. a[0] =16’h0000


15. [多选]在Verilog里面,对于赋值中出现位宽不匹配的后果的描述正确的是(

A. Can result in simulation error
B. May cause unpredictable result in comparing logic
C. No effect
D. Can result in synthesis error


二、问答题

1、UVM中,active mode和passive mode有什么区别

2、请用任何你熟悉的脚本语言,比如Perl,python, tcl/tk或者shell脚本从’013adbGh’中检测出字符串’adb’,返回1,如果检测到对应的字符串,否则返回’0’.

3、下面是一个4位半加器的实现,以及一个用verilog编写的testbend以便实现自动化验证。请补充完testbendh里面缺少的代码

module add 4(input[3:0] in1,in2;output[3:0] sum,output cout
);assign{coutsum}= in1+in2;
endmodulemodule tb_adder_40;logic[3:0] in1;logic[3:0] in2;logic cout;logic[3:0] sum;logic[4:0]exp_sum;bit chk_status=0;initial beginin1<=3'b0;in2<=3b0;for(int i=0; i<16; i++) beginfor(int j=0; j<16;j++) begin#1;in1=i;in2=j;chk_status=check_sum(in1,in2,{cout,sum});if(chk_status == 0) begin$display("Test Fail!);$finish;endendend$display(Test PASS!);
endfunction bit check_sum(logic [3:0] in1,logic [3:0] in2, logic[4:0] exp_sum);//补充代码return comp_result;
endfunctionadd_4 u_add_4(.in1(in1),.in2(in2),.sum(sum),.cout(cout)
);
endmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/777446.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Linux 基础IO [缓冲区文件系统]

&#x1f493;博主CSDN主页:麻辣韭菜&#x1f493;   ⏩专栏分类&#xff1a;Linux知识分享⏪   &#x1f69a;代码仓库:Linux代码练习&#x1f69a;   &#x1f339;关注我&#x1faf5;带你学习更多Linux知识   &#x1f51d; 目录 前言 一.Linux下一切皆文件 二.缓冲…

在线接口文档预言方案

在线接口文档预言方案 要求&#xff1a; ​ 支持自动生成接口文档 ​ 能够支持在线测试(http&#xff0c;websocket) ​ 对代码没有侵入性 一、目前涉及的相关技术收集 sudo apt update #更新数据 sudo apt upgrade #更新软件 sudo apt install openssh-server #下载安装…

数据结构与算法-分治算法

数据结构与算法 数据结构与算法是计算机科学中的两个核心概念&#xff0c;它们在软件开发和问题解决中起着至关重要的作用。 数据结构 数据结构是计算机中存储、组织和管理数据的方式&#xff0c;它能够帮助我们高效地访问和修改数据。不同的数据结构适用于不同类型的应用场…

14.黑盒测试

黑盒测试、白盒测试考题固定&#xff0c;重视&#xff01;&#xff01;&#xff01; 等价类、边界值、场景法&#xff1b;考察频率高&#xff01;&#xff01;&#xff01; 因果图&#xff0c;偶尔考&#xff1b;要能看懂因果图&#xff0c;结合题干填写缺失的部分内容&#x…

Redis中的客户端(三)

客户端 身份验证 客户端状态的authenticated属性用于记录客户端是否通过了身份验证: typedef struct redisClient {// ...int authenticated;// ... } redisClient;如果authnticated的值为0&#xff0c;那么表示客户端未通过身份验证&#xff1b;如果authenticated的值为1&a…

智慧酒店(二):AI智能分析网关V4视频分析技术在酒店管理中的应用

一、人工智能技术如何应用在酒店管理中&#xff1f; 随着科技的飞速发展&#xff0c;人工智能技术已经逐渐渗透到我们生活的方方面面&#xff0c;其中&#xff0c;酒店管理行业便是其应用的重要领域之一。人工智能技术以其高效、精准的特点&#xff0c;为酒店管理带来了革命性…

Day34:学习尚上优选项目

学习计划&#xff1a;完成尚硅谷的尚上优选项目 学习进度&#xff1a;尚上优选项目 知识点&#xff1a; 四、 搭建平台管理端前端环境 改造登录功能功能测试 权限管理模块-角色管理 环境搭建开发角色管理接口 权限管理模块-用户管理 开发用户管理CURD接口

题目 2894: 肿瘤检测

题目描述: 一张CT扫描的灰度图像可以用一个N*N&#xff08;0 < N < 100&#xff09;的矩阵描述&#xff0c;矩阵上的每个点对应一个灰度值&#xff08;整数&#xff09;&#xff0c;其取值范围是0-255。我们假设给定的图像中有且只有一个肿瘤。在图上监测肿瘤的方法如下…

31-4 命令执行漏洞 - RCE原理

一、定义 RCE(远程命令/代码执行)漏洞是指存在于软件或系统中的安全漏洞,使得攻击者可以通过网络远程执行操作系统命令或者注入恶意代码,从而控制目标系统。 二、漏洞原理 漏洞原理是指RCE漏洞产生的根本原因,通常与不安全的输入验证、错误的配置和不充分的安全措施有关…

修改nuxtjs项目中的浏览器图标步骤

处理步骤&#xff1a; 打开配置页面 使用el-upload 上传图片到后台 后台把图片转为ico&#xff0c;返回图标路径 配置页面修改本页面预览图&#xff0c;点击保存&#xff0c;修改的数据库。 通知nuxt布局页面&#xff0c;修改head节点中的图标属性&#xff0c;…

如何系统得自学python?——7.列表与元组

列表 一、列表的应⽤场景 列表是Python中最常用的数据结构之一&#xff0c;用于存储一组有序的数据。它在各种场景中都有广泛的应用&#xff0c;例如&#xff1a; 存储多个相同类型的数据&#xff0c;如学生成绩、员工工资等。存储不同类型的数据&#xff0c;如图书信息&…

Channel 结合 Select 使用

在Go语言中&#xff0c;channel和select结合使用是一种强大的并发模式。channel允许在不同的goroutine之间安全地传递消息&#xff0c;而select使得goroutine可以同时等待多个通信操作&#xff08;channel操作&#xff09;。 select语句等待多个channel操作中的任意一个完成。…

《VulnHub》Lampião:1

title: 《VulnHub》Lampio&#xff1a;1 date: 2024-03-28 21:37:49 updated: 2024-03-28 21:37:50 categories: WriteUp&#xff1a;Cyber-Range excerpt: 关键技术&#xff1a;主机发现&#xff0c;端口扫描、服务探测、操作系统探测&#xff0c;对开放的端口探测漏洞&#x…

寒冬继续!飞书发全员信 “适当精简团队规模”

多精彩内容在公众号。 3月26日飞书CEO谢欣发布全员信&#xff0c;宣布进行组织调整&#xff0c;同时为受到影响的“同学”提供补偿方案和转岗机会。 在致员工的一封信中&#xff0c;谢欣坦诚地指出&#xff0c;尽管飞书的团队人数众多&#xff0c;但组织结构的不够紧凑导致了工…

fastadmin学习05-开启debug以及配置

FastAdmin 框架提供了对 .env 环境变量配置的支持&#xff0c;并附带一个默认示例文件 .env.sample。在安装后&#xff0c;框架并不会自动启用 env 环境变量&#xff0c;需要手动将 .env.sample 复制为 .env 并进行配置。 如果不开启.env会读取database.php中的配置 下面测试…

redis缓存穿透、缓存击穿、缓存雪崩及其解决方法

缓存穿透、缓存击穿、缓存雪崩是redis的三大问题。 在介绍这三大问题之前&#xff0c;我们需要先了解Redis作为一个缓存中间件&#xff0c;在项目中是如何工作的。首先看一下在没有缓存中间件的时候的系统数据访问的架构图&#xff1a; 客户端发起一个查询请求的时候&#xff…

Maya 2024 for Mac/Win:重塑三维创意世界的利器

在数字化浪潮汹涌的当下&#xff0c;三维图形软件早已成为创意产业不可或缺的重要工具。而在这其中&#xff0c;Maya 2024以其卓越的性能和丰富的功能&#xff0c;赢得了无数设计师的青睐。无论是Mac还是Win平台&#xff0c;Maya 2024都能为您的三维创作提供强大的支持。 Maya…

【ssh免密设置】

本机远程的服务器上执行&#xff1a; ssh-keygen -t rsa2.然后修改公钥的权限&#xff08;xxxxx.pub需要替换&#xff09; chmod 600 xxxxx.pub3.再执行命令&#xff0c;将公钥导到免密的服务器上&#xff08;服务器ip地址替换成自己的&#xff09; ssh-copy-id root服务器i…

算法系列--动态规划--背包问题(2)--01背包拓展题目

&#x1f495;"2024.3.28小米汽车发布"&#x1f495; 作者&#xff1a;Lvzi 文章主要内容&#xff1a;算法系列–动态规划–背包问题(2)–01背包拓展题目 大家好,今天为大家带来的是算法系列--动态规划--背包问题(2)--01背包拓展题目 1.分割等和⼦集 链接: https:/…

史上最全-Java面试题(涵盖基础、高级、框架、微服务、中间件、大厂真题等28个大类超3000+面试题,全部附带详细答案)

3月4月又到了一年一度的跳槽黄金期,无论几年经验,也无论技术能力如何,跳槽前都离不开面试准备,其中刷面试题是重中之重。 刷面试题的时候一大痛点就是太分散了,需要自己根据知识点一项一项的去搜,容易遗漏知识点而且面试题质量无法保证,非常痛苦,基于此我花了两个月的…