【Verilog HDL学习之路】第二章 Verilog HDL的设计方法学——层次建模

2 Verilog HDL的设计方法学——层次建模

  • 重要的思想:
    在语文教学中,应该先掌握核心方法论,再用正确的方法论去做题目,这样能够逐渐加深对于方法论的理解,做题的速度和准确率也会越来越高。
  • 在Verilog HDL中,该思想同样适用,在编程,软件开发也一样如此
学习正确的设计方法学
按照方法不断训练
掌握设计方法学
进行高效的设计

2.1 设计方法学——层次建模

2.1.1 方法理论

  • 自上而下设计方法

设计者先设计出顶层模块,然后将其拆解,分析出其内部模块,再进一步为更小的、已知的功能单元。用流程图可以进行如下表示

顶层模块
子模块1
子模块2
子模块3
叶单元
叶单元
叶单元
叶单元

图示:
在这里插入图片描述

  • 自下而上的设计方法
    设计者使用功能确定是小的功能单元,组合成大的功能单元,然后逐渐增大,流程图即上面的箭头反向

2.1.2 实际应用

在实际使用设计方法学的过程中,往往采用二者结合的方式,程序员一边设计顶层的架构,一边将小模块组合成大模块,上下同时进行,然后进行对接。

实际的流程往往是这个样子的

把他们组合起来
继续组合
设计整体架构
拆解为小的单元
小单元中,哪些是我能写出的?哪些是别人开发好的?哪些能查到?
设计好小单元
构成大单元
完成整体架构

这个过程中,对于小单元的实现,是自下而上的,对于整体架构的实现,是自上而下的。

当然,其实这样的流程过于抽象,并且也不是唯一的,我们看后面的实例部分来深入理解思想即可,大可不必生搬硬套。

2.2 应用层次建模思想设计Verilog HDL程序

具体内容请参考书籍《Verilog HDL:A Guide to Design and Synthesis》(Second Edition)

先来阐述核心概念:

  • 模块与模块实例
  • 设计块与激励块

2.2.1 模块与模块实例

对于模块与模块实例的关系,和C++中模板与模板实例的关系是类似的

在Verilog HDL中,模块是基本功能单元,它可以是

  • 叶单元
  • 子模块
  • 顶层模块

在更高级的模块中,可以调用低级模块实例来构建,也有了一条重要的原则:

  • 下级模块实例,被调用,来构建上级模块

总而言之,Verilog的核心设计,是由模块构成的,不同等级的模块通过模块实例进行相互调用来建立联系

每一个模块,都是一个有接口,有功能,但是内部细节不可见的科技黑箱

每一个模块实例,都是模块的副本,可以被它的上级模块调用

2.2.2 设计块与激励块

  • 设计块就是2.2.1中构建的最上级的模块,即顶层模块
  • 激励块就是用来验证设计块的正确性

问题:什么是“激励”?

先来举一个类似的例子,在物理电路实验中,我们连接好电路,然后给他加上电源通电,验证电路是否连接正确。
在Verilog设计中,我们的“设计块”就是电路,“激励块”就是电源,“仿真测试”就是通电。这样的类比应该非常清晰明确了,再画个表格对比。

Verilog HDL电路实验
设计块电路
激励块电源
仿真测试电路通电

激励块的作用:
给设计块加上具备指定特征的信号,来测试设计块的正确性和效率

激励块的两种设计模式:

  1. 激励块中调用设计块实例,直接接入信号驱动设计块
  2. 生成一个新的“虚拟的”顶层模块,调用激励块实例和设计块实例,让二者接口进行交互,通过信号传递间接驱动设计块

举个例子你就明白了

  • 方式一:手动点火来引爆炸药
  • 方式二:使用引爆器引爆炸药

2.2.3 使用规则

  • 模块、模块实例和激励块的命名,必须是唯一的,不能重名
  • 模块不能嵌套定义,但是模块内部可以进行其他模块的声明或调用其他模块实例
  • 模块由module开始,endmodule结束

2.2.4 示例

2.3 思考:层次建模在Verilog与C/C++应用的区别

层次建模的思想,一直都应该存在,但是在具体实现中,Verilog设计使用自上而下的设计是可能的,因为模块相对简单,接口相对确定,只需要设置好接口,知道需要的模块和功能即可。

但是对于高级语言来说,自上而下的在纸上设计框架是可以的,具体实现并不能这样的做,因为它的低层框架同样是非常复杂的,并不能直接提前设计好,尤其是对于大框架嵌套小框架的情况,一定要先设计好小框架,再完成大框架,也就是自上而下地设计自下而上地实现

对于并行的中层框架来说,实现顺序也需要按照执行顺序来编写。

2.4 疑问解答

对于第一章提到的问题,现在可以解答了。【Verilog HDL学习之路】第一章 Verilog HDL 数字设计总论

问题1:对于过程“RTL级描述描述–>门级网表”,门级网表等同于门级描述吗?

问题2:行为级综合工具的出现允许Verilog HDL进行行为级描述,那么它是可以将行为级描述转换为RTL级描述吗?

回答以上两个问题,Verilog HDL的设计者可以使用四种抽象层次对模块进行描述,最终通过综合工具后,一般生成的是门级结构的描述

另外补充一点,RTL级描述,通常指的是能够被逻辑综合工具接受的行为级描述和数据流级描述的混合描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/384797.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【Verilog HDL】第四章 模块的端口连接规则——污水处理模型

先放上连接规则的简图,再详细解释 1. 构建模型——污水处理之流水模型 我们先将上述结构构件一个简单模型,以帮助我们理解。 污水:输入数据净水:输出数据双向数据暂不讨论,取输入和输出的交集即可污水处理厂&…

【Verilog HDL】从逻辑电路图到门级建模——人工翻译的方法论

从左到右,从上到下 先搞定缓冲/非门,再写与/或门 1. 实例解读 先以四选一数据选择器进行说明 对于数字逻辑的部分不再说明,直接进行逻辑电路图到Verilog门级建模的人工翻译过程的描述。 1.1 端口和线网分析 确定输入/输出端口 输入端口 …

【Verilog HDL】语句的并发执行

1. 实践得到的启发 先从一个简单的现象得出结论,Verilog语句是并发执行的! 同时,这也是**$monitor系统任务为全局有效**的一个重要支持因素,如果没有并发,它是完不成这项功能的实现的。 众所周知,高级语…

【数字逻辑】第四章 组合逻辑电路:端口设计 端口拓展的方法

1. 端口设计的方法 1.1 数据选择器 以四选一数据选择器为例,需要的不同接口类型为 输入端口 数据输入端口地址输入端口使能端(控制与拓展) 输出端口 数据输出端口 2. 端口拓展的方法——层次建模思想 2.0 两个拓展方向 2.0.1 “数组型…

【Verilog HDL】第三章 reg和net及其一组类型的区别——充分运用实验思维

0 确定问题的讨论层级与范围 本文讨论的层次是 数字逻辑与Verilog HDL语言 讨论的范围是: 数据存储而不是讨论逻辑 1 线网类型 1.1 wire类型 这个暂时没什么好说的,一般常用的就是wire类型,需要注意的是: 默认是标量&…

【C/C++ 汇编语言 Verilog】越界截断——数据越界问题的多角度分析

0 前言 0.1 讨论层级和范围 讨论层级 计算机底层:硬件层次与汇编指令层次信息与二进制位 讨论范围 信息的存储与运算在汇编语言与Verilog HDL中的联系与区别事实上,数据越界截断问题,在计算机体系的任何层次,都可能发生&#xf…

【VS C++ 2010】查看内存的方法详解

1 示例代码 对于以下代码&#xff1a; int main() {int a 100010001000;int b 100;cout << "a " << a << " " << &a << endl;cout << "b " << b << " " << &b…

【汇编语言】上机实验 win7/8/10 64位系统 进入32位DOS模式 实现dubug/edit/masm/link功能

1 软件下载和安装 下载并安装DOSBox软件&#xff0c;注意&#xff0c;不要装在C盘上&#xff0c;装在其他盘上 【备注】软件直接百度搜索即可下载Debug.exe文件 【备注】百度搜索“Debug 64位 下载” 对于下载后得到的debug.exe文件 将这个文件拷贝到磁盘根目录下&#xff0c…

【数字逻辑 Verilog】全面剖析数据选择器——从基础到拓展,从理论到设计的实现,从表面到本质

0 前言 0.1 使用环境 EDA工具&#xff1a;Vivado 2017.4硬件描述语言&#xff1a;Verilog HDL 0.2 涉及知识 数字逻辑Verilog 1 基础模块&#xff1a;一位四选一数据选择器 1.1 设计部分&#xff1a;层次建模 1.1.1 需求分析 设计一个一位的四选一数据选择器&#xff0…

【计算机网络】手动配置hosts文件解决使用GitHub和Coursera网站加载慢/卡的问题

目录0 前言1 打开hosts1.1 以管理员身份运行记事本1.2 打开hosts2 找到实际地址2.1 打开cmd2.2 找到网址3 替换地址3.1 修改hosts文件3.2 刷新4 后续内容的完善0 前言 本文是针对纯小白读者&#xff0c;没有涉及到任何的专业知识&#xff0c;你只需要按照步骤操作即可。 同时…

【汇编语言】镜像迁移能力之一通百通——由代码段和CS:IP的原理,掌握一类寄存器的使用

0 前言 你应该知道8086CPU的物理地址形成方式及其原理&#xff0c;才能完成本文的学习。 1 内存的分段 对于内存&#xff0c;人们人为地将其划分为一段一段的&#xff0c;比如代码段和数据段等&#xff0c;特别注意&#xff0c;这是人为划分的结果&#xff0c;方面人类使用&…

【计算机组成原理 数字逻辑 Verilog】32位加法器的实现:支持整数的加减运算

目录0 前言0.1 使用环境0.2 知识点0.3 注意事项1 建模&#xff1a;1位加法器1.1 构建基础模型1.1.1 一位加法器1.1.1.1 科技黑箱&#xff1a;外部端口与功能1.1.1.2 揭秘黑箱&#xff1a;内部结构与模块1.1.2 从顶层模块提取低层模块&#xff1a;取反功能选择器1.1.2.1 科技黑箱…

【Verilog HDL】门级描述 / 数据流描述 / 行为级描述——通过四选一多路选择器,实现对于不同层级描述方式的整体性认知

目录0 前言1 输出端口的设计1.1 门级描述和数据流描述1.2 行为级描述2 三种描述方式的整体架构2.1 门级描述2.2 数据流描述2.3 行为级描述2.4 补充&#xff1a;独立的语句2.5 小结3 理解三种描述方式的本质3.1 门级描述3.2 数据流描述3.3 行为级描述4 理解不同抽象层级描述方式…

【汇编语言】汇编实验IDE(集成开发环境):RadASM的安装和使用说明

0 前言 本文适合8086CPU的指令集。 对于重要的专业基础课程&#xff0c;汇编语言&#xff0c;做实验是必不可少的&#xff0c;但是由于汇编语言本身的缺陷&#xff0c;现代计算机并不能直接运行汇编语言程序&#xff0c;因此&#xff0c;一般老师会要求我们 使用虚拟机&…

System V IPC之信号灯

信号灯也叫信号量 用于进程/线程同步或互斥的机制 信号灯的类型 1.Posix 无名信号灯 2.Posix 有名信号灯 3.System V 信号灯 信号灯的含义 计数信号灯&#xff08;1和2都是&#xff09; System V信号灯是一个或多个计数信号灯的集合&#xff08;可操作集合中的多个信号灯&…

【VS 2017 C语言 汇编语言】如何使用VS 2017,通过反汇编查看C语言代码对应的32位x86汇编语言 VS 2017单步调试的使用

0 前言 本文适用于VS的大多数版本&#xff0c;本文以VS 2017为例进行讲解。 1 编辑C语言代码 首先&#xff0c;在VS编译器中&#xff0c;创建项目&#xff0c;敲一段C语言代码&#xff0c;这个过程不解释了&#xff0c;如果不会请百度。 #include <stdio.h> #include…

System V IPC之共享内存

共享内存是一种最为高效的进程间通信方式&#xff0c;进程可以直接读写内存&#xff0c; 而不需要任何数据的拷贝 共享内存在内核空间创建&#xff0c; 可以被进程映射到用户空间访问 由于多个进程可同时访问共享内存 &#xff0c; 因此需要同步和互斥机制配合使用 共享内存的使…

【汇编语言】结合C语言,使用VS 2017调试模式下的反汇编工具学习32位x86汇编指令

0 前言 简要说明x86系列指令集的整体概况与变化。 我给到你补充学习内容&#xff1a;使用VS学习汇编语言的教程 1 8086CPU到现代CPU的变化 做一些了解即可&#xff0c;不是绝对的&#xff0c;取决于设计工艺以及用途&#xff0c;不同计算机不一样也正常。 1.1 CPU位数与地…

System V IPC之消息队列

消息队列由消息队列id来唯一标识 消息队列就是一个消息的列表 用户可以在消息队列中添加消息 读取消息 消息队列可以按照类型来发送和接收消息 消息队列使用步骤 打开/创建消息队列 msgget 向消息队列发送消息 msgsnd 从消息队列接收消息 msgrcv 控制消息队列 msgctl 创建/打开…

进程间通信————信号

信号 信号是在软件层次上对中断机制的一直模拟&#xff0c;是一种异步通信方式 linux内核通过信号通知用户进程&#xff0c; 不同的信号类型代表不同的事件 进程对信号有不同的响应方式 缺省方式 忽略信号 捕捉信号 SIGKILL和SIGSTOP这两个信号量 级别很高 只能执行默认操作…