6.5物联网RK3399项目开发实录-驱动开发之LCD显示屏使用(wulianjishu666)

90款行业常用传感器单片机程序及资料【stm32,stc89c52,arduino适用】

链接:https://pan.baidu.com/s/1M3u8lcznKuXfN8NRoLYtTA?pwd=c53f 
========================================================

LCD使用

简介

AIO-3399J开发板外置了两个LCD屏接口,一个是EDP,一个是LVDS,接口对应板子上的位置如下图:

_images/lcd_interface.jpg

LVDS屏

DTS配置

引脚配置

AIO-3399J的SDK有LVDS DSI的DTS文件:kernel/arch/arm64/boot/dts/rockchip/rk3399-firefly-aio-lvds.dts,从该文件中我们可以看到以下语句:

/ {model = "AIO Board lvds (Android)";compatible = "rockchip,android", "rockchip,rk3399-firefly-lvds", "rockchip,rk3399";test-power {status = "okay";};...&dsi {status = "okay";dsi_panel: panel {compatible ="simple-panel-dsi";reg = <0>;//ddc-i2c-bu//power-supply = <&vcc_lcd>;//pinctrl-0 = <&lcd_panel_reset &lcd_panel_enable>;backlight = <&backlight>;/*enable-gpios = <&gpio1 1 GPIO_ACTIVE_LOW>;reset-gpios = <&gpio4 29 GPIO_ACTIVE_LOW>;*/dsi,flags = <(MIPI_DSI_MODE_VIDEO | MIPI_DSI_MODE_VIDEO_BURST | MIPI_DSI_MODE_LPM | MIPI_DSI_MODE_EOT_PACKET)>;dsi,format = <MIPI_DSI_FMT_RGB888>;//bus-format = <MEDIA_BUS_FMT_RGB666_1X18>;dsi,lanes = <4>;dsi,channel = <0>;enable-delay-ms = <35>;prepare-delay-ms = <6>;unprepare-delay-ms = <0>;disable-delay-ms = <20>;size,width = <120>;size,height = <170>;status = "okay";...power_ctr: power_ctr {rockchip,debug = <0>;lcd_pwr_en: lcd-pwr-en {gpios = <&gpio3 16 GPIO_ACTIVE_HIGH>;pinctrl-names = "default";pinctrl-0 = <&lcd_panel_pwr_en>;rockchip,delay = <10>;};lcd_rst: lcd-rst {gpios = <&gpio2 27 GPIO_ACTIVE_HIGH>;pinctrl-names = "default";pinctrl-0 = <&lcd_panel_reset>;rockchip,delay = <6>;};};...&pinctrl {lcd-panel {lcd_panel_reset: lcd-panel-reset {rockchip,pins = <2 27 RK_FUNC_GPIO &pcfg_pull_down>;};lcd_panel_pwr_en: lcd-panel-pwr-en {rockchip,pins = <3 16 RK_FUNC_GPIO &pcfg_pull_down>;};};
};

这里定义了LCD的电源控制引脚:

lcd_pwr_en:(GPIO3_C0)GPIO_ACTIVE_HIGH
lcd_rst:(GPIO2_D3)GPIO_ACTIVE_HIGH

都是高电平有效,具体的引脚配置请参考《GPIO》一节。

配置背光

AIO-3399J开发板外置了一个背光接口用来控制屏幕背光,如下图所示:

_images/lcd_back_light.jpg

在DTS文件:kernel/arch/arm64/boot/dts/rockchip/rk3399-firefly-core.dtsi中配置了背光信息,如下:

/ {compatible = "rockchip,rk3399-firefly-core", "rockchip,rk3399";backlight: backlight {status = "disabled";compatible = "pwm-backlight";pwms = <&pwm0 0 25000 0>;brightness-levels = <0   1   2   3   4   5   6   78   9  10  11  12  13  14  1516  17  18  19  20  21  22  2324  25  26  27  28  29  30  3132  33  34  35  36  37  38  3940  41  42  43  44  45  46  4748  49  50  51  52  53  54  5556  57  58  59  60  61  62  6364  65  66  67  68  69  70  7172  73  74  75  76  77  78  7980  81  82  83  84  85  86  8788  89  90  91  92  93  94  9596  97  98  99 100 101 102 103104 105 106 107 108 109 110 111112 113 114 115 116 117 118 119120 121 122 123 124 125 126 127128 129 130 131 132 133 134 135136 137 138 139 140 141 142 143144 145 146 147 148 149 150 151152 153 154 155 156 157 158 159160 161 162 163 164 165 166 167168 169 170 171 172 173 174 175176 177 178 179 180 181 182 183184 185 186 187 188 189 190 191192 193 194 195 196 197 198 199200 201 202 203 204 205 206 207208 209 210 211 212 213 214 215216 217 218 219 220 221 222 223224 225 226 227 228 229 230 231232 233 234 235 236 237 238 239240 241 242 243 244 245 246 247248 249 250 251 252 253 254 255>;default-brightness-level = <200>;
};

pwms属性:配置PWM,范例里面默认使用pwm0,25000ns是周期(40 KHz)。LVDS需要加背光电源控制脚,在kernel/arch/arm64/boot/dts/rockchip/rk3399-firefly-aio-lvds.dts中可以看到以下语句:

&backlight {status = "okay";enable-gpios = <&gpio1 1 GPIO_ACTIVE_HIGH>;brightness-levels = < 150 151152 153 154 155 156 157 158 159160 161 162 163 164 165 166 167168 169 170 171 172 173 174 175176 177 178 179 180 181 182 183184 185 186 187 188 189 190 191192 193 194 195 196 197 198 199200 201 202 203 204 205 206 207208 209 210 211 212 213 214 215216 217 218 219 220 221 222 223224 225 226 227 228 229 230 231232 233 234 235 236 237 238 239240 241 242 243 244 245 246 247248 249 250 251 252 253 254 255>;
};

因此使用时需修改DTS文件。

brightness-levels属性:配置背光亮度数组,最大值为255,配置暗区和亮区,并把亮区数组做255的比例调节。比如范例中暗区是255-221,亮区是220-0。 default-brightness-level属性:开机时默认背光亮度,范围为0-255。 具体请参考kernel中的说明文档:kernel/Documentation/devicetree/bindings/leds/backlight/pwm-backlight.txt

配置显示时序

与EDP屏不同,LVDS屏的 Timing 写在DTS文件中,在kernel/arch/arm64/boot/dts/rockchip/rk3399-firefly-aio-lvds.dts中可以看到以下语句:

disp_timings: display-timings {native-mode = <&timing0>;timing0: timing0 {clock-frequency = <80000000>;hactive = <768>;vactive = <1024>;hsync-len = <20>;   //20, 50hback-porch = <130>; //50, 56hfront-porch = <150>;//50, 30vsync-len = <40>;vback-porch = <130>;vfront-porch = <136>;hsync-active = <0>;vsync-active = <0>;de-active = <0>;pixelclk-active = <0>;};}
}

时序属性参考下图:

_images/lcd_sequence.jpg

Init Code

lvds屏上完电后需要发送初始化指令才能使之工作。初始化指令需要以下工具文档生成,下载TC358764_5_774_5XBG_DSI-LVDS_Tv11p_nm_1280x800.xls

如何配置LVDS panel-init-sequence

以1280x800单lvds为例: 首先打开TC358764_5_774_5XBG_DSI-LVDS_Tv11p_nm_1280x800.xls 

_images/page.png

 选择页面”Timing Parameters_SYNC_EVENT”,按照LVDS屏的时序填入LVDS timing黄色单元,一般只需填入以下单元即可。

  • HPW / HBPR / HDISPR / HFPR 分别对应 hsync-len / hback-porch / hactive / hfront-porch

  • VPW / VBPR / VDISPR / VFPR 分别对应 vhsync-len / vback-porch / vactive / vfront-porch

LVDS timing填入完成后还需配置常规参数 

_images/parameter.png

  • 1.根据LVDS屏规格书确认LVDS Link和LVDS output format并选择屏的参数。

  • 2.计算LVDS clock(蓝色单元无法写入,需要黄色单元自动计算得出),需要填入DSI Clock(HOST), Pixel Clock Source, Pixel Clock Divider。计算公式如下:DSI Clock/Pixel Clock Source/Pixel Clock Divider=LVDS Clock

填入上述黄色单元基本上完成配置,接下来选择页面”Source”即可看到转换后的Comment 

_images/source.png

 以上面为例”013C 00030005”,mipi command就应该是”29 02 06 3C 01 05 00 03 00”

  • 29 : packet ID

  • 02 : 2ms delay

  • 06 : 6 bytes

  • 3C 01 : address = 0x013C

  • 00 03 00 05 : data=0x05000300

将页面source所有地址写入数据,即可完成初始化指令panel-init-sequence。

dts在kernel/arch/arm64/boot/dts/rockchip/rk3399-firefly-aio-lvds.dts中可以看到lvds的初始化指令列表:

&dsi {status = "okay";...panel-init-sequence = [29 00 06 3C 01 09 00 07 0029 00 06 14 01 06 00 00 0029 00 06 64 01 0B 00 00 0029 00 06 68 01 0B 00 00 0029 00 06 6C 01 0B 00 00 0029 00 06 70 01 0B 00 00 0029 00 06 34 01 1F 00 00 0029 00 06 10 02 1F 00 00 0029 00 06 04 01 01 00 00 0029 00 06 04 02 01 00 00 0029 00 06 50 04 20 01 F0 0329 00 06 54 04 32 00 B4 0029 00 06 58 04 80 07 48 0029 00 06 5C 04 0A 00 19 0029 00 06 60 04 38 04 0A 0029 00 06 64 04 01 00 00 0029 01 06 A0 04 06 80 44 0029 00 06 A0 04 06 80 04 0029 00 06 04 05 04 00 00 0029 00 06 80 04 00 01 02 0329 00 06 84 04 04 07 05 0829 00 06 88 04 09 0A 0E 0F29 00 06 8C 04 0B 0C 0D 1029 00 06 90 04 16 17 11 1229 00 06 94 04 13 14 15 1B29 00 06 98 04 18 19 1A 0629 02 06 9C 04 33 04 00 00];panel-exit-sequence = [05 05 01 2805 78 01 10];...
};

命令格式以及说明可参考以下附件: Rockchip DRM Panel Porting Guide.pdf

  • kernel 发送指令可以看到在kernel/drivers/gpu/drm/panel/panel-simple.c文件中的操作:

static int panel_simple_enable(struct drm_panel *panel)
{struct panel_simple *p = to_panel_simple(panel);int err;if (p->enabled)return 0;DBG("enter\n");if (p->on_cmds) {err = panel_simple_dsi_send_cmds(p, p->on_cmds);if (err)dev_err(p->dev, "failed to send on cmds\n");}if (p->desc && p->desc->delay.enable) {DBG("p->desc->delay.enable=%d\n", p->desc->delay.enable);msleep(p->desc->delay.enable);}if (p->backlight) {DBG("open backlight\n");p->backlight->props.power = FB_BLANK_UNBLANK;backlight_update_status(p->backlight);}p->enabled = true;return 0;
}
  • u-boot

发送指令可以看到在u-boot/drivers/video/rockchip-dw-mipi-dsi.c文件中的操作:

static int rockchip_dw_mipi_dsi_enable(struct display_state *state)
{struct connector_state *conn_state = &state->conn_state;struct crtc_state *crtc_state = &state->crtc_state;const struct rockchip_connector *connector = conn_state->connector;const struct dw_mipi_dsi_plat_data *pdata = connector->data;struct dw_mipi_dsi *dsi = conn_state->private;u32 val;DBG("enter\n");dw_mipi_dsi_set_mode(dsi, DW_MIPI_DSI_VID_MODE);dsi_write(dsi, DSI_MODE_CFG, ENABLE_CMD_MODE);dw_mipi_dsi_set_mode(dsi, DW_MIPI_DSI_VID_MODE);if (!pdata->has_vop_sel)return 0;if (pdata->grf_switch_reg) {if (crtc_state->crtc_id)val = pdata->dsi0_en_bit | (pdata->dsi0_en_bit << 16);elseval = pdata->dsi0_en_bit << 16;writel(val, RKIO_GRF_PHYS + pdata->grf_switch_reg);}debug("vop %s output to dsi0\n", (crtc_state->crtc_id) ? "LIT" : "BIG");//rockchip_dw_mipi_dsi_read_allregs(dsi);return 0;
}
常见问题
1. 画面抖动闪屏

排查屏参数是否超出屏规格书限定周期,排查屏时钟大小。”Timing Parameters_SYNC_EVENT”所有参数变动必须和comment同步调整。

2. 颜色显示异常

尝试同步调整color mapping或者lvds timing。

NOTE: 页面”How to use”有详细步骤,其他参数说明可以参考文档”页面”菜单。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/784437.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

算法编程:计算斐波那契数列

实现代码&#xff1a;C 实现方法&#xff1a;通过递推法、递归法、矩阵快速幂方法 适用&#xff1a; 范围小且单次查询时&#xff0c;可以不用记忆化处理。 范围大或多次查询时&#xff0c;应使用记忆化处理。 时间复杂度&#xff1a; 递归法&#xff1a;O(n^2)-->递推法(…

【保姆级教程】YOLOv3图像目标检测:训练自己的数据集

一、YOLOv3图像目标检测原理 二、YOLOv3代码及预训练权重下载 2.1 下载yolov3代码 这里使用的是B站大佬Bubbliiiing复现的yolov3代码 仓库地址&#xff1a; https://github.com/bubbliiiing/yolo3-pytorch 2.2 下载模型预训练权重unet_resnet_medical.pth 链接&#xff1a…

【博弈论3——二人博弈的纳什均衡】

1.俾斯麦海之战 2. 零和博弈的定义 零和博弈&#xff08;Zero-Sum Game&#xff09;是一种博弈论的基本概念&#xff0c;指的是在博弈过程中&#xff0c;博弈参与者之间的收益和损失之和总是一个常数&#xff0c;特别是总和为零。即博弈一方的收益必然等于另一方的损失&#x…

贪吃蛇:从零开始搭建一个完整的小游戏

目录 导语&#xff1a; 一、游戏框架 二、蛇的实现 三、绘制游戏界面 四、食物 五、移动蛇 六.得分系统&#xff0c;是否吃到食物 七、检查碰撞 八、处理按键事件 九、得分系统 十、游戏状态管理 导语&#xff1a; 贪吃蛇这个经典的小游戏&#xff0c;我上学的时候就…

QT记事本

QT记事本 1.概述 2.界面  2.1 界面布局  2.2 UI美化stylesheet   2.2.1 准备   2.2.2 stylesheet   2.2.3 效果 2.3 窗口大小调整与子控件自适应 3.信号与槽  3.1 简述  3.2 信号与槽设置   3.2.1 UI控件设置   3.2.2 UI转到槽&#xff08;自动连接&am…

Jamba LLM模型:破解大型上下文窗口挑战的AI新星

每周跟踪AI热点新闻动向和震撼发展 想要探索生成式人工智能的前沿进展吗&#xff1f;订阅我们的简报&#xff0c;深入解析最新的技术突破、实际应用案例和未来的趋势。与全球数同行一同&#xff0c;从行业内部的深度分析和实用指南中受益。不要错过这个机会&#xff0c;成为AI领…

JBPM学习(三):管理流程定义,java集合面试题

Test public void findAll() { // 查询 List list processEngine.getRepositoryService()// .createProcessDefinitionQuery()// .orderAsc(ProcessDefinitionQuery.PROPERTY_KEY)//排序条件 //.count()查询出总数量 //.page(0, 100)分页&#xff0c;取出前100条记录 …

【漏洞复现】WordPress Plugin LearnDash LMS 敏感信息暴漏

漏洞描述 WordPress和WordPress plugin都是WordPress基金会的产品。WordPress是一套使用PHP语言开发的博客平台。该平台支持在PHP和MySQL的服务器上架设个人博客网站。WordPress plugin是一个应用插件。 WordPress Plugin LearnDash LMS 4.10.2及之前版本存在安全漏洞&#x…

遥感动态监测技术

很多人对动态监测和动态检测两个名词有疑惑。我们可以这样理解&#xff0c;动态监测是一个广义的名词&#xff0c;泛指数据预处理、变化信息发现与提取、变化信息挖掘与应用等&#xff0c;以对整个流程的叙述。动态检测是一个狭义的名词&#xff0c;主要指部分数据预处理、变化…

C++list的模拟实现

为了实现list&#xff0c;我们需要实现三个类 一、List的节点类 template<class T> struct ListNode {ListNode(const T& val T()):_pPre(nullptr),_pNext(nullptr),_val(val){}ListNode<T>* _pPre;ListNode<T>* _pNext;T _val; }; 二、List的迭代器…

JAVAEE之CSS

1.CSS 是什么&#xff1f; 层叠样式表 (Cascading Style Sheets). CSS 能够对网页中元素位置的排版进行像素级精确控制, 实现美化页面的效果. 能够做到页面的样式和结构分离. 1.1 CSS和HTML的区别 CSS&#xff0c;全称为层叠样式表(Cascading Style Sheets)&#xff0c;是…

RocketMQ(版本4.9.4)+RocketMQ_Dashbord环境搭建(生产者、消费者的前置环境搭建)

一、官方网站下载 RocketMQ源码包 https://rocketmq.apache.org/zh/docs/4.x/introduction/02quickstart 二、把rocketMQ上传到Linux环境下解压&#xff0c;编译&#xff0c;执行以下命令&#xff08;需要提前装jdk和maven并配置好环境变量&#xff09; unzip rocketmq-all-4…

AtCoder Beginner Contest 347 (ABCDEF题)视频讲解

A - Divisible Problem Statement You are given positive integers N N N and K K K, and a sequence of length N N N, A ( A 1 , A 2 , … , A N ) A(A_1,A_2,\ldots,A_N) A(A1​,A2​,…,AN​). Extract all elements of A A A that are multiples of K K K, divi…

计算机的组成原理

1.简单常识介绍 1.1认识一下计算机的真实相貌 1.2怎么通过电信号传二进制 工作原理 cpu的针脚也就跟我们的手指一样用来接收高低电频&#xff0c;来判断2进制数据 cpu和数据交互的原理 2.计算机系统 软件介绍 硬件的发展历史 电子管时代 晶体管时代 中小规模集成电路 大规模…

C#(winform) 调用MATLAB函数

测试环境 VisualStudio2022 / .NET Framework 4.7.2 Matlab2021b 参考&#xff1a;C# Matlab 相互调用 Matlab 1、编写Matlab函数 可以没有任何参数单纯定义matlab处理的函数&#xff0c;输出的数据都存在TXT中用以后期读取数据 function [result,m,n] TEST(list) % 计算…

Uibot6.0 (RPA财务机器人师资培训第6天 )发票验真机器人案例实战

类似于小北的这篇博客&#xff1a;Uibot (RPA设计软件&#xff09;Mage AI智能识别&#xff08;发票识别&#xff09;———课前材料五_uibot 添加mageai-CSDN博客https://blog.csdn.net/Zhiyilang/article/details/135591297?spm1001.2014.3001.5501训练网站&#xff1a;泓江…

电流镜恒流源简介

目录 工作原理 设计要点 应用场景 初步想法&#xff0c;为参加活动先占贴&#xff08;带家人出去玩没时间搞~~&#xff09;&#xff0c;后面优化 电流镜恒流源是一种利用电流镜原理设计的电路&#xff0c;它可以提供恒定的电流输出&#xff0c;不受负载变化或电源电压波动的…

Linux:ip协议

文章目录 ip协议基本认识ip协议的报头 ip协议基本认识 前面对于TCP的内容已经基本结束了&#xff0c;那么这也就意味着在传输层也已经结束了&#xff0c;那么下一步要进入的是的是网络层&#xff0c;网络层中也有很多种协议&#xff0c;这里主要进行解析的是ip协议 前面的TCP…

迅饶科技 X2Modbus 网关 GetUser 信息泄露漏洞复现

0x01 产品简介 X2Modbus是上海迅饶自动化科技有限公司Q开发的一款功能很强大的协议转换网关, 这里的X代表各家不同的通信协议, 2是T0的谐音表示转换, Modbus就是最终支持的标准协议是Modbus协议。用户可以根据现场设备的通信协议进行配置,转成标准的Modbus协议。在PC端仿真…

政安晨:【Keras机器学习实践要点】(十二)—— 迁移学习和微调

目录 设置 介绍 冻结层&#xff1a;了解可训练属性 可训练属性的递归设置 典型的迁移学习工作流程 微调 关于compile()和trainable的重要说明 BatchNormalization层的重要注意事项 政安晨的个人主页&#xff1a;政安晨 欢迎 &#x1f44d;点赞✍评论⭐收藏 收录专栏: T…