chisel入门初步1——基4的booth编码的单周期有符号乘法器实现

基4的booth编码乘法器原理说明

基2的booth编码

本质来说就是一个裂项重组,乘法器最重要的设计是改变部分积的数量,另外在考虑有符号数的情况下,最高位符号位有特别的意义。
(注:部分积是指需要最后一起加和的所有部分乘积的项)
下面直接套用其他人图片进行展示,来源如下
https://blog.csdn.net/weixin_42330305/article/details/122868294
在这里插入图片描述
其中 B − 1 = 0 B_{-1}=0 B1=0
可以看到,基2的booth编码并没有改变部分积的数量,在实际运行时,基2的booth编码在某些情况下无法提速,甚至可能降速,所以引入基4的booth编码

基4的booth编码

为应对上面问题,这里引入基4的booth编码,将部分积的数量缩小了一半,大大加快了处理速度,具体如下
图片来源与上面相同
在这里插入图片描述
所以
在这里插入图片描述
其中 B − 1 = 0 B_{-1}=0 B1=0
在实际处理时,为保证形式统一且数组访问时不越界,可以这样处理

b = {B, 0};

通过在末尾拼接一个0,整个式子可以写成下述形式
A ⋅ B = ∑ i = 0 n 2 − 1 ( − 2 ⋅ b 2 i + 2 + b 2 i + 1 + b 2 i ) ⋅ 2 2 i ⋅ A A\cdot B=\sum_{i=0}^{\frac{n}{2}-1} (-2 \cdot b_{2i+2} + b_{2i+1} + b_{2i})\cdot2^{2i}\cdot A AB=i=02n1(2b2i+2+b2i+1+b2i)22iA
可以看出,部分积的数量减少到原来的一半,乘法器速度大大加快

基4的booth编码的单周期有符号乘法器Verilog实现

直接丢出Verilog代码


/*
* 基4的booth编码的单周期有符号乘法器
*/module booth_multiplier_base4 #(parameter DATA_WIDTH = 8       // 数据位宽应该为2的指数
)(  input [DATA_WIDTH-1 : 0] a,  input [DATA_WIDTH-1 : 0] b,  output reg [2*DATA_WIDTH-1 : 0] product,input clk
);  integer i;  reg [2:0] booth_bits [DATA_WIDTH/2-1:0];  reg [DATA_WIDTH:0] b_extended;reg [2*DATA_WIDTH:0] partial_product [DATA_WIDTH/2-1:0];  reg [2*DATA_WIDTH-1:0] a_pos, a_neg, a_extend; always @(posedge clk) begin  b_extended = {b, 1'b0}; // 这里我补了个0,防止索引超出界限a_extend = {{DATA_WIDTH{a[DATA_WIDTH-1]}}, a};    // 符号位扩展 ,之前忘记扩展找了好久a_pos = a_extend;a_neg = ~a_extend + 1'b1;  // 补码运算product = 0;for (i = 0; i < DATA_WIDTH/2; i = i + 1) begin  booth_bits[i] = {b_extended[2*i+2], b_extended[2*i+1], b_extended[2*i]};  case (booth_bits[i])/*$\sum_{i=0}^{\frac{n}{2}-1} (-2 \cdot b_{2i+2} + b_{2i+1} + b_{2i})$  // LaTex{ b(2i+2), b(2i+1), b(2i) } :=000:    0;001:    1;010:    1;011:    2;100:    -2;101:    -1;110:    -1;111:    0;*/  3'b000, 3'b111: partial_product[i] = 9'd0;  3'b001, 3'b010: partial_product[i] = a_pos;3'b011:         partial_product[i] = a_pos << 1;3'b100:         partial_product[i] = a_neg << 1;3'b101, 3'b110: partial_product[i] = a_neg; endcase  endfor (i = 0; i < (DATA_WIDTH/2-1); i = i + 1) beginproduct = product + (partial_product[i] << (2*i)); // Shift and accumulateendend  endmodule

testbench如下

`timescale 1ns/1nsmodule sim_booth_multiplier_base4 ();parameter DATA_WIDTH = 8;    reg [DATA_WIDTH-1:0] a;
reg [DATA_WIDTH-1:0] b;
wire [2*DATA_WIDTH-1:0] product;
reg [2*DATA_WIDTH-1:0] expected_product;
reg test_passed;
reg clk;booth_multiplier_base4 #(.DATA_WIDTH(DATA_WIDTH)) booth_multiplier_base4_0
(.a(a),.b(b),.product(product),.clk(clk)
);initial begin  // 初始化  $display("Time, a, b, Expected Product, Actual Product, Test Result\n");  clk = 0;// 第一个样例  a <= 8'b01111111; // 127  b <= 8'b00000010; // 2  expected_product <= 16'd254; // 254  #10; // 等待一些时间以便观察波形  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s\n", $time, a, b, expected_product, product, (test_passed ? "PASSED" : "FAILED"));  // 第二个样例 (注意:在实际八位乘法中这是不可能的,因为会溢出)  // 我们可以故意让它失败,或者用一个能够处理溢出的乘法器  a <= 8'b10000000; // -128 (补码表示)  b <= 8'b10000000; // -128 (补码表示)  // 由于这个乘法实际上会溢出,所以设置expected_product为一个不可能的值  expected_product <= 16'bx0000000000000000; // 'x'表示不关心这些位  #10;  // 这里我们检查乘法器是否设置了溢出标志位(如果有的话),或者检查最高位是否设置正确  // 由于我们没有具体的乘法器实现细节,这里只能做一个假设性的检查  // 假设乘法器在溢出时将最高位设置为1  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s (Overflow Expected)\n", $time, a, b, expected_product, product, test_passed ? "PASSED" : "FAILED");  // 第三个样例  a <= 8'b11111111; // -1  b <= 8'b11111111; // -1  expected_product <= 16'b0000000000000001; // 1  #10;  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s\n", $time, a, b, expected_product, product, test_passed ? "PASSED" : "FAILED");  // 结束仿真  $finish;  
end  always begin#2;clk = ~clk;
end
endmodule

代码不详细讲了,应该还比较清楚,没有进行性能的优化,仅完成功能的实现

基4的booth编码单周期有符号乘法器chisel实现

和Verilog代码逻辑相同,这里直接撇出来
模块代码

import chisel3._  
import chisel3.util._  class BoothMultiplierBase4(val DATA_WIDTH: Int = 8) extends Module {  val io = IO(new Bundle {  val a = Input(SInt(DATA_WIDTH.W))  // Signed input a  val b = Input(SInt(DATA_WIDTH.W))  // Signed input b  val product = Output(SInt((2 * DATA_WIDTH).W)) // Signed output product  })  val booth_bits = Wire(Vec((DATA_WIDTH / 2), UInt(3.W)))  val partial_products = RegInit(VecInit(Seq.fill(DATA_WIDTH / 2)(0.S((2 * DATA_WIDTH).W))))  // On every positive edge of the clock  val b_extended = io.b << 1.U // Sign-extend b with an extra 0  val a_neg = -io.a                    // Negation of a  val a_pos = io.a                     // Positive of aval regProduct = RegInit(0.S((2 * DATA_WIDTH).W))// Calculate Booth bits  for (i <- 0 until DATA_WIDTH / 2) {  booth_bits(i) := Cat(b_extended(2*i+2), b_extended(2*i+1), b_extended(2*i)) // Calculate partial products based on Booth encoding  partial_products(i) := MuxCase(0.S, Array(  (booth_bits(i) === 0.U || booth_bits(i) === 7.U) -> 0.S,  (booth_bits(i) === 1.U || booth_bits(i) === 2.U)  -> a_pos,  (booth_bits(i) === 3.U) -> (a_pos << 1.U),  (booth_bits(i) === 4.U) -> (a_neg << 1.U),                 // 此处自动进行符号位的扩展,下同(booth_bits(i) === 5.U || booth_bits(i) === 6.U) -> a_neg  ))  }  // Accumulate partial products to form the final product  io.product := partial_products.zipWithIndex.map{case (pp, i) => pp << ((2*i).U)}.reduce(_+_)}  /* An object extending App to generate the Verilog code*/
object BoothMultiplierBase4 extends App {(new chisel3.stage.ChiselStage).emitVerilog(new BoothMultiplierBase4(), Array("--target-dir", "./verilog/BoothMultiplier"))
}

测试代码

import org.scalatest.flatspec.AnyFlatSpec  
import scala.util.Random  
import chisel3._  
import chisel3.tester._  // Booth乘法器的测试类  class BoothMultiplierBase4Test extends AnyFlatSpec with ChiselScalatestTester {  behavior of "BoothMultiplierBase4"  it should "multiply signed numbers correctly" in {  for (i <- 0 until 10) {  val a = Random.nextInt(256) - 128 // 生成-128到127之间的随机数  val b = Random.nextInt(256) - 128  test(new BoothMultiplierBase4) { c =>  c.io.a.poke(a.S) // 将随机数a作为有符号数输入  c.io.b.poke(b.S) // 将随机数b作为有符号数输入  c.clock.step(2) // 时钟前进一步以执行乘法  val expectedProduct = a.toLong * b.toLong // 计算预期乘积  val actualProduct = c.io.product.peek().litValue.toLong // 获取实际乘积  /* c: 这是测试环境中BoothMultiplierBase4模块的实例。c.io.product: 这是指向模块输出端口product的引用。peek(): 这是一个Chisel测试方法,用于在不推进时钟的情况下读取端口的当前值。litValue: 这是一个方法,用于从Chisel的Data类型中提取实际的Scala值(在这个例子中是BigInt) */println(s"Iteration: $i, A: $a, B: $b, Expected Product: $expectedProduct, Actual Product: $actualProduct")  assert(actualProduct === expectedProduct, s"Product is incorrect at iteration $i! Expected: $expectedProduct, Actual: $actualProduct")  }  }  }  
}

测试结果

Iteration: 0, A: -70, B: 110, Expected Product: -7700, Actual Product: -7700
o		# 不知道这个o是哪里来的。。。
Iteration: 1, A: 105, B: 104, Expected Product: 10920, Actual Product: 10920
Iteration: 2, A: 69, B: -90, Expected Product: -6210, Actual Product: -6210
Iteration: 3, A: 62, B: -64, Expected Product: -3968, Actual Product: -3968
Iteration: 4, A: -34, B: -104, Expected Product: 3536, Actual Product: 3536
Iteration: 5, A: -49, B: 103, Expected Product: -5047, Actual Product: -5047
Iteration: 6, A: 57, B: 56, Expected Product: 3192, Actual Product: 3192
Iteration: 7, A: 32, B: 22, Expected Product: 704, Actual Product: 704
Iteration: 8, A: -51, B: -101, Expected Product: 5151, Actual Product: 5151
Iteration: 9, A: -94, B: 54, Expected Product: -5076, Actual Product: -5076

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/632995.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

使用的uview 微信高版本 头像昵称填写能力

<template><view><button class"cu-btn block bg-blue margin-tb-sm lg" tap"wxGetUserInfo">一键登录</button><view><!-- 提示窗示例 --><u-popup :show"show" background-color"#fff">&…

关于C#中的async/await的理解

1. 使用async标记的方法被认为是一个异步方法&#xff0c;如果不使用await关键字&#xff0c;调用跟普通方法没有区别 static async Task Main(string[] args){Console.WriteLine("主线程id&#xff1a;" Thread.CurrentThread.ManagedThreadId);TestAwait();Consol…

翻译: Streamlit从入门到精通六 实战缓存Cache请求数据

Streamlit从入门到精通 系列&#xff1a; 翻译: Streamlit从入门到精通 基础控件 一翻译: Streamlit从入门到精通 显示图表Graphs 地图Map 主题Themes 二翻译: Streamlit从入门到精通 构建一个机器学习应用程序 三翻译: Streamlit从入门到精通 部署一个机器学习应用程序 四翻译…

从matlab的fig图像文件中提取数据

这里用的是openfig&#xff08;&#xff09;函数打开的fig文件 →→→【matlab 中 fig 数据提取】 很简洁 →→→【MATLAB提取 .fig 文件中的数据】 这个给出了包含多个曲线的情况 →→→【提取matlab fig文件里的数据和legend】 chatgpt给出的方法 打开fig文件并保存数据 我的…

StarRocks 生成列:百倍提速半结构化数据分析

半结构化分析主要是指对 MAP&#xff0c;STRUCT&#xff0c;JSON&#xff0c;ARRAY 等复杂数据类型的查询分析。这些数据类型表达能力强&#xff0c;因此被广泛应用到 OLAP 分析的各种场景中&#xff0c;但由于其实现的复杂性&#xff0c;对这些复杂类型分析将会比一般简单类型…

【单片机】改写DS2431芯片的地址码,地址ROM,DS2431芯片解密

对DS2431里面的128字节可以进行任意读写&#xff0c;方式可以看这里&#xff1a;https://blog.csdn.net/x1131230123/article/details/132248958 但DS2431芯片的地址码是光刻不可修改的&#xff0c;所以只有使用模拟芯片。 原理&#xff1a; https://www.dianyuan.com/article…

K8S Informer机制原理解读 | 架构设计

在Kubernetes系统中&#xff0c;组件之间通过HTTP协议进行通信&#xff0c;在不依赖任何中间件的情况下需要保证消息的实时性、可靠性、顺序性等。那么Kubernetes是如何做到的呢&#xff1f;答案就是Informer机制。Kubernetes的其他组件都是通过client-go的Informer机制与Kuber…

Java线程池配置由繁至简,找到适合自己的天命线程池

Java线程池配置由繁至简&#xff0c;找到适合自己的天命线程池 任务队列workQueue和饱和策略handler什么时候登场&#xff1f; 首先这里有几道经常考的线程池面试题&#xff1a; 简单介绍下线程池&#xff0c;核心数从corePoolSize 到maximumPoolSize 的变化过程&#xff1f;…

我用 ChatGPT 做了一次探索性数据分析,真的太太太实用了!

ChatGPT 经过短短1年时间的发展&#xff0c;其功能越来越强&#xff0c;现在已经是大多数企业和个人不可或缺的助手。特别是最新的 GPT-4 版本&#xff0c;专门在左边菜单栏给出了两个工具&#xff08;一个是数据分析&#xff0c;另一个是根据文字描述生成图片&#xff09;&…

教育的本质与教师发展:对能力大赛模板化现象的深度反思与批判——以快速技术迭代背景下的教学策略为审视视角

在我国当前的教育体系中&#xff0c;教师能力大赛等活动在一定程度上确实扮演了提升教师专业素养、推动教学改革的角色。它们通过竞争机制激发了教师自我提升的动力&#xff0c;并提供了一个展示教师教学才华的平台。然而&#xff0c;随着时间推移&#xff0c;此类活动却呈现出…

Opencv小项目——手势数字刷TIKTOK

​ 写在前面&#xff1a; 很久没更新了&#xff0c;之前的实习的记录也算是烂尾了&#xff0c;但是好在自己的实习记录还是有的&#xff0c;最近也忙碌了很多&#xff0c;终于放假了&#xff0c;今天下午正好没事&#xff0c;闲来无事就随便做个小玩意吧。 思来想去&#xff…

yolo9000:Better, Faster, Stronger的目标检测网络

目录 一、回顾yolov1二、yolov2详细讲解2.1 Better部分创新点&#xff08;1&#xff09;Batch Normalization(批量归一化)&#xff08;2&#xff09;High Resolution Classifier---高分辨率分类器&#xff08;3&#xff09;Anchor Boxes---锚框&#xff08;4&#xff09;Dimens…

k8s学习-Deployment

Kubernetes通过各种Controller来管理Pod的生命周期 。 为了满足不同业 务 景 &#xff0c; Kubernetes 开发了Deployment、ReplicaSet、DaemonSet、StatefuleSet、Job等多种Controller。我们⾸先学习最常用Deployment。 1.1 Kubectl命令直接创建 第一种是通过kubectl命令直接…

ROS第 9 课 编写简单的服务端 Server

文章目录 第 9 课 编写简单的服务端 Server1.创建服务器代码2.运行服务器节点 第 9 课 编写简单的服务端 Server 1.创建服务器代码 注意&#xff1a;在创建服务器代码之前&#xff0c;需要先创建工作空间和功能包&#xff0c;具体操作过程可前往目录“第4课 创建工作空间与功能…

蓝桥杯每日一题---基数排序

题目 分析 在实际的比赛过程中很少会自己手写排序&#xff0c;顶多是定义一下排序规则。之所以要练习一下基数排序&#xff0c;是因为在后续学习过程中学到后缀数组时需要自己手写基数排序&#xff0c;那么这里使用的方法也和后缀数组一致&#xff0c;理解这里也便于后缀数组的…

领域特定语言(Domain-Specific Language, DSL)在 Visual Studio 2022中的实验——建立领域模型

一、环境 dotnet --version 8.0.101 Microsoft Visual Studio Enterprise 2022 (64 位) - Current 版本 17.8.4 已安装组件 ComponentLinkVisual Studiohttp://go.microsoft.com/fwlink/?LinkId185579Visual Studio SDKhttps://go.microsoft.com/fwlink/?li…

RTC讲解

RTC&#xff08;Real Time Clock&#xff09;实时时钟 RTC实时时钟本质上是一个独立的定时器。RTC模块拥有一组连续计数的32位无符号计数器&#xff0c;在相应软件配置下&#xff0c;可提供时钟日历的功能。修改计数器的值可以重新设置系统当前的时间和日期。 RTC模块和时钟配…

提升开发效率,Fiddler Everywhere for Mac助您解决网络调试难题

在现代软件开发中&#xff0c;网络调试是一个不可或缺的环节。无论是前端开发还是后端开发&#xff0c;我们经常需要对网络请求进行监控和调试&#xff0c;以便及时发现并解决问题。而Fiddler Everywhere for Mac作为一款强大的网络调试工具&#xff0c;能够帮助开发者提升工作…

Ubuntu重启后进入initramfs导致无法开机

今晚&#xff0c;我的电脑意外关机&#xff0c;重新开机后打开了虚拟机后出现initramfs&#xff0c;一直无法开机。该虚拟机使用的是 vm17,系统是ubuntu20, 解决方案 使用如下命令查看和识别磁盘、分区或文件系统的信息 在initramfs后面输入 fsck /dev/sdb4 ,即修复上面损坏的…

32 选择组件

效果演示 实现了一个复选框的动画效果&#xff0c;当复选框被选中时&#xff0c;复选框的前面会出现一个勾号&#xff0c;同时复选框的背景颜色会变成灰色&#xff0c;复选框旁边会出现一个火花效果。当复选框被取消选中时&#xff0c;复选框的勾号会消失&#xff0c;复选框的背…