基于fpga_EP4CE6F17C8_秒表计数器

文章目录

  • 前言
  • 实验手册
  • 一、实验目的
  • 二、实验原理
    • 1.理论原理
    • 2.硬件原理
  • 三、系统架构设计
  • 四、模块说明
  • 1.模块端口信号列表
      • dig_driver(数码管驱动模块)
      • key(按键消抖模块)
      • top(顶层模块)
  • 2.状态转移图
  • 3.时序图
  • 五、仿真波形图
    • 仿真代码
  • 六、代码编写
      • dig_driver(数码管驱动模块)
      • key(按键消抖模块)
      • top(顶层模块)
  • 七、引脚分配
  • 八、板级验证效果(拍照或录制视频)


前言

利用动态数码管的原理对秒表计时器的实现

实验手册

一、实验目的

用按键控制秒表的启动、停止和重置 2.用两个数码管显示秒表的分; 用两个数码管显示秒表的秒; 用两个数码管显示秒表的毫秒的百位和十位数值。

二、实验原理

1.理论原理

在数码管中由于有位选信号和段选信号的存在,我们无法做到将数码管同时进行变换,所以我们通过快速的切换和刷新,使得我们看到的是连续的多个数码管显示结果。通过控制位选信号和段选信号,可以实现不同的数字、字母或符号的动态显示。
用已经消抖的按键控制秒表计数器的停止、启动、复位。

2.硬件原理

开发板上的数码管数码管位选和段选信号都是低电平有效。
位选信号根据需要的显示位数进行生成(该实验所用的开发板可用6个位选信号),它们用于选择要显示的数码管。
段选信号根据需要的显示内容进行生成,它们用于控制数码管的七段LED灯以及小数点LED灯的亮灭状态(该实验所用的开发板可用8个段选信号)。

三、系统架构设计

请添加图片描述

由于数码管无法同时改变状态,我在此处采用了0.1ms的计数器对数码管进行刷新,人眼不会察觉到闪烁。
在取seg的值时我采用了两个计数器,一个是作为数码管的最小数值10ms,一个是最大数值60min,然后对60min计数器中的计数值取余、取整,分离出分、秒、毫秒的个位和十位。
我还设置两个按键控制该秒表的启动、停止、以及复位,按键1控制启动,再次按下则停止,按键4控制秒表复位。

四、模块说明

1.模块端口信号列表

dig_driver(数码管驱动模块)

端口信号信号类型信号名称信号作用
inputwireclk时钟信号
inputwirerst_n复位信号
inputwire[1:0]key_in消抖后稳定按键信号
outputreg[5:0]sel6位位选信号
outputreg[7:0]seg8位段选信号

key(按键消抖模块)

端口信号信号类型信号名称信号作用
inputwireclk时钟信号
inputwirerst_n复位信号
inputwire[1:0]key_in2位输入按键信号
outputregkey_out输出稳定按键信号

top(顶层模块)

端口信号信号类型信号名称信号作用
inputwireclk时钟信号
inputwirerst_n复位信号
inputwire[1:0]key_in2位输入按键信号
outputreg[5:0]sel6位位选信号
outputreg[7:0]seg8位段选信号

2.状态转移图

3.时序图

请添加图片描述

五、仿真波形图

仿真代码

`timescale 1ns/1ns
`define CYCLE 20
module tb (
);//激励信号/* input  */  reg            clk           ;/* input  */  reg            rst_n         ;/*  input */   reg  [3:0]     key_in        ;
//响应信号/* output */  wire [7:0]   seg             ;       //段选信号/* output */  wire [5:0]   sel             ;       //位选信号
//重定义参数defparam    top.u_dig_driver.MAX_10ms = 5,top.u_dig_driver.MAX_0_1ms = 1,top.u_dig_driver.MAX_60min = 36000,top.u_key.CNT_MAX = 1,top.u_dig_driver.sec_unit = 600,top.u_dig_driver.ms_unit  = 10;//时钟信号always #(`CYCLE/2) clk = ~clk;
//激励开始initial beginclk = 1'b0;rst_n = 1'b0;key_in = 4'b1111;#3rst_n = 1'b1;#10key_in = 4'b1110;#(`CYCLE*100)key_in = 4'b1111;#(top.u_dig_driver.MAX_60min*`CYCLE)key_in = 4'b1110;#(`CYCLE*100)key_in = 4'b1111;#(top.u_dig_driver.MAX_60min/2)key_in = 4'b1111;#(`CYCLE)key_in = 4'b0111;#(`CYCLE*100)key_in = 4'b1111;#(`CYCLE*100)$stop(2);end//实例化toptop (/* input             */   .clk  (clk  )           ,/* input             */   .rst_n(rst_n)           ,/* output  reg [7:0] */   .seg  (seg  )           ,       //段选信号/* output  reg [5:0] */   .sel  (sel  )                   //位选信号
);endmodule

请添加图片描述

在这个仿真波形图中我们可以看到位选信号在我设置的频率中不停来回切换,在切换中计数器10ms每计数一次前两位位选信号加一,直到加到第二位位选信号9为止,而中间两位的位选信号则是加到第四位位选信号6为止,与预期效果一致。

六、代码编写

dig_driver(数码管驱动模块)

module dig_driver (input               clk             ,input               rst_n           ,input       [3:0]   key_out         ,output  reg [7:0]   seg             ,       //段选信号output  reg [5:0]   sel                     //位选信号
);
wire [10:0] min; 
wire [10:0] sec;
wire [10:0] ms ;parameter MAX_10ms = 32'd499_999;
reg [31:0] cnt_10ms;
wire add_10ms;
wire end_10ms;parameter MAX_0_1ms = 32'd4_999;
reg [31:0] cnt_0_1ms;parameter MAX_60min = 32'd359_999;
reg [31:0] cnt_60min;parameter sec_unit = 10'd6000,ms_unit  = 10'd100;reg [4:0]  seg_value;//seg的状态寄存器
parameter   ZERO         = 8'b1100_0000    ,ONE          = 8'b1111_1001    ,TWO          = 8'b1010_0100    ,THREE        = 8'b1011_0000    ,FOUR         = 8'b1001_1001    ,FIVE         = 8'b1001_0010    ,SIX          = 8'b1000_0010    ,SEVEN        = 8'b1111_1000    ,EIGHT        = 8'b1000_0000    ,NINE         = 8'b1001_0000    ;//parameter   sel_0   =   6'b111110,
//            sel_1   =   6'b111101,
//            sel_2   =   6'b111011,
//            sel_3   =   6'b110111,
//            sel_4   =   6'b101111,
//            sel_5   =   6'b011111;//1ms计数器
always @(posedge clk or negedge rst_n) beginif (!rst_n) begincnt_10ms <= 1'd0;endelse if (cnt_10ms == MAX_10ms) begincnt_10ms <= 1'd0;endelse if (key_out[0]) begincnt_10ms <= cnt_10ms + 1;endelse if (key_out[1]) begincnt_10ms <= cnt_10ms    ;end
end
assign add_10ms = 1'b1;
assign end_10ms = cnt_10ms == MAX_10ms;//60min计数器
always @(posedge clk or negedge rst_n) beginif (!rst_n) begincnt_60min <= 1'd0;endelse if (end_10ms) begincnt_60min <= cnt_60min + 1;endelse if (cnt_60min == MAX_60min) begincnt_60min <= 1'd0;endelse begincnt_60min <= cnt_60min;end
end
//对计数值进行除和取余获得相应的值
assign  min = cnt_60min/sec_unit;           
assign  sec = cnt_60min%sec_unit/ms_unit;
assign  ms  = cnt_60min%sec_unit%ms_unit;
//0.1ms计数器
always @(posedge clk or negedge rst_n) beginif (!rst_n) begincnt_0_1ms <= 1'd0;endelse if (cnt_0_1ms == MAX_0_1ms) begincnt_0_1ms <= 1'd0;endelse begincnt_0_1ms <= cnt_0_1ms + 1;end
end
//该板块无法同时变化,只能依次闪烁,该方法为依次闪烁的间隔,人肉眼无法判断 0.1ms
always @(posedge clk or negedge rst_n) beginif (!rst_n) beginsel <= 6'b011_111;endelse if (cnt_0_1ms == MAX_0_1ms) beginsel <= {sel[0],sel[5:1]};endelse beginsel <= sel ;end
end//每位位选信号的段选信号的值
always @(posedge clk or negedge rst_n) beginif (!rst_n) beginseg_value <= 5'd0;endcase (sel)   6'b011111: seg_value <= ms%10;6'b101111: seg_value <= ms/10;6'b110111: seg_value <= sec%10;6'b111011: seg_value <= sec/10;6'b111101: seg_value <= min%10;6'b111110: seg_value <= min/10; default: seg_value <= 5'd0;endcase
end//根据seg_value显示数码管
always @(posedge clk or negedge rst_n) beginif (!rst_n) beginseg <= 8'b11111111;endcase (seg_value)5'd0:begin    seg <=   ZERO  ;    end5'd1:begin    seg <=   ONE   ;    end5'd2:begin    seg <=   TWO   ;    end5'd3:begin    seg <=   THREE ;    end5'd4:begin    seg <=   FOUR  ;    end5'd5:begin    seg <=   FIVE  ;    end5'd6:begin    seg <=   SIX   ;    end5'd7:begin    seg <=   SEVEN ;    end5'd8:begin    seg <=   EIGHT ;    end5'd9:begin    seg <=   NINE  ;    enddefault: seg <= seg; endcase
end
endmodule

key(按键消抖模块)

module key
(input wire clk,input wire rst_n,input wire key_in,output reg key_out
);parameter  CNT_MAX =20'd999_999; //20ms计数reg [19:0] cnt_20ms;
reg key_flag;
//20ms消抖
always@(posedge clk or negedge rst_n)if(!rst_n)cnt_20ms<=20'b0;else if(key_in==1'b1)cnt_20ms<=20'd0;else if(cnt_20ms==CNT_MAX)cnt_20ms<=CNT_MAX;elsecnt_20ms<=cnt_20ms+20'd1;
//取单个脉冲信号
always@(posedge clk or negedge rst_n)if(!rst_n)key_flag<=1'b0;else if(cnt_20ms==(CNT_MAX-20'd1))key_flag<=1'b1;elsekey_flag<=1'b0;
//有效信号
always @(posedge clk or negedge rst_n)beginif(!rst_n)beginkey_out <= 1'b0;endelse if(key_flag == 1'b1)key_out <= ~key_out;elsekey_out <= key_out;
endendmodule

top(顶层模块)

module top (input clk,input rst_n,input [3:0] key_in,output   [7:0]   seg             ,       //段选信号output   [5:0]   sel                     //位选信号
);wire [3:0]key_flag;wire [3:0]key_out ;key     u_key//key_fsm
(/* input wire */ .clk           (clk    )        ,              /* input wire */ .rst_n         (rst_n  )        ,            /* input wire */ .key_in        (key_in[0])        ,               /* output reg */ .key_out       (key_out[0])        
);key  u_key1
(/* input wire */ .clk           (clk    )        ,              /* input wire */ .rst_n         (rst_n  )        ,            /* input wire */ .key_in        (key_in[1])       ,               /* output reg */ .key_out       (key_out[1])        
);key     u_key2
(/* input wire */ .clk           (clk    )        ,              /* input wire */ .rst_n         (rst_n  )        ,            /* input wire */ .key_in        (key_in[2])        ,               /* output reg */ .key_out       (key_out[2])        
);key     u_key3
(/* input wire */ .clk           (clk    )        ,              /* input wire */ .rst_n         (rst_n  )        ,            /* input wire */ .key_in        (key_in[3])       ,               /* output reg */ .key_out       (key_out[3])        
);  dig_driver u_dig_driver
(/* input           */         .clk     (clk    )      ,/* input           */         .rst_n   (rst_n  )      ,/* input       [3:0]  */      .key_out (key_out)      ,/* output  reg [7:0] */       .seg     (seg)          ,       //段选信号/* output  reg [5:0] */       .sel     (sel)                  //位选信号
);endmodule

七、引脚分配

请添加图片描述

八、板级验证效果(拍照或录制视频)

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/19813.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

目标检测与跟踪 (2)- YOLO V8配置与测试

系列文章目录 第一章 目标检测与跟踪 &#xff08;1&#xff09;- 机器人视觉与YOLO V8 目标检测与跟踪 &#xff08;1&#xff09;- 机器人视觉与YOLO V8_Techblog of HaoWANG的博客-CSDN博客3D物体实时检测、三维目标识别、6D位姿估计一直是机器人视觉领域的核心研究课题&a…

7.物联网操作系统互斥信号量

1.使用互斥信号量解决信号量导致的优先级反转&#xff0c; 2.使用递归互斥信号量解决互斥信号量导致的死锁。 3.高优先级主函数中多次使用同一信号量的使用&#xff0c;使用递归互斥信号量&#xff0c;但要注意每个信号量的使用要对应一个释放 优先级翻转问题 优先级翻转功能需…

gateway过滤器没生效,特殊原因

看这边文章的前提&#xff0c;你要会gateway&#xff0c;知道过滤器怎么配置&#xff1f; 直接来看过滤器&#xff0c;局部过滤器 再来看配置 请求路径 http://127.0.0.1:8080/appframework/services/catalog/catalogSpecials.json?pageindex1&pagesize10&pkidd98…

网络安全知识点整理(作业2)

目录 一、js函数声明->function 第一种 第二种 第三种 二、this关键字 this使用场合 1.全局环境 2.构造函数 3.对象的方法 避免多层this 三、js的同步与异步 定时器 setTimeout和setInterval 同步与异步的例子 四、宏任务与微任务 分辨宏任务与微任务 一、js…

深入解析项目管理中的用户流程图

介绍用户流程图 用户流程图的定义 用户流程图(User Flow Diagram)是一种可视化工具&#xff0c;它描绘了用户在应用或网站上完成任务的过程。这些任务可以是购物、注册账户、查找信息等&#xff0c;任何需要用户交互的动作都可以在用户流程图中找到。 用户流程图的重要性 用…

我对排序算法的理解

排序算法一直是一个很困惑我的问题&#xff0c;早在刚开始接触 数据结构的时候&#xff0c;这个地方就很让我不解。就是那种&#xff0c;总是感觉少了些什么的感觉。一开始&#xff0c;重新来过&#xff0c;认真来学习这一部分&#xff0c;也总是学着学着就把概念记住了。过了一…

案例|会展大数据服务系统 智能服务体系建设实践

根据相关的市场调查发现在国内进行的2000多个会展项目中&#xff0c;仅有15%的项目能够提供相关主题网站基本业务和服务&#xff0c;且提供的服务并不能够满足会展各方的应用&#xff0c;剩余的大部门会展项目信息系统构建的不够完善、技术性差、应用复杂等&#xff0c;甚至提供…

三、JVM-如何判断对象已死问题

内存模型以及如何判定对象已死问题 体验与验证 2.4.5.1 使用visualvm visualgc插件下载链接 &#xff1a;https://visualvm.github.io/pluginscenters.html 选择对应JDK版本链接—>Tools—>Visual GC 若上述链接找不到合适的&#xff0c;大家也可以自己在网上下载对应…

服务器的shell脚本

shell脚本语句可以执行linux的操作语句。 linux相当于网页&#xff0c;shell相当于java。可以解释编写执行逻辑。 shell的开头以&#xff1a;#!bin/sh 定义解析方式&#xff0c;不同的linuxe内核解释方式不同。大多数内核支持sh&#xff08;bash&#xff09;方式。 执行sh文件可…

输入筛选框搜索

文章目录 输入筛选框实现效果图需求前端工具版本添加依赖main.js导入依赖 代码 后端代码对应 sql对应 mapper.xml 文件的动态 sql 输入筛选框实现 效果图 需求 通过筛选框&#xff0c;选择公司&#xff0c;传入后端&#xff0c;后端根据公司名称去文章的内容中进行模糊查询 …

照片回收站是什么?恢复照片就靠这3招!

“我电脑里本来保存了很多照片&#xff0c;但我不小心给删除了&#xff0c;还能恢复吗&#xff1f;由于本人是个电脑新手&#xff0c;求一些简单的方法。感谢&#xff01;” 在照片拍摄后&#xff0c;很多朋友可能会选择在电脑上对照片进行保存。但是由于各种原因&#xff0c;电…

Simulink仿真模块-Signal Builder

目录 说明 实例 Signal Builder是创建和生成可交替的具有分段线性波形的信号组。 在仿真库中的位置为:Simulink / Sources说明 Signal Builder 模块允许创建可交替的分段线性信号源组,并在模型中使用。可以快速将信号组切换入模型或切换出模型,以便于测试。在 Signal Buil…

Linux【网络编程】之深入理解TCP协议

Linux【网络编程】之深入理解TCP协议 TCP协议TCP协议段格式4位首部长度---TCP报头长度信息 TCP可靠性&#xff08;确认应答&#xff09;&& 提高传输效率确认应答(ACK)机制32位序号与32为确认序号 16位窗口大小---自己接收缓冲区剩余空间的大小16位紧急指针---紧急数据处…

无涯教程-Lua - 文件I/O

I/O库用于在Lua中读取和处理文件。 Lua中有两种文件操作&#xff0c;即隐式(Implicit)和显式(Explicit)操作。 对于以下示例&#xff0c;无涯教程将使用例文件test.lua&#xff0c;如下所示。 -- sample test.lua -- sample2 test.lua 一个简单的文件打开操作使用以下语句。…

改进的智能优化算法定性分析:种群多样性分析(Analysis of the population diversity)

目录 一、智能优化算法改进种群多样性分析 二、GWO与IGWO种群多样性对比 三、GWO与改进的GWO1种群多样性分析 四、代码获取 一、智能优化算法改进种群多样性分析 为了验证所提出的IDMO中种群多样性的丰富性&#xff0c;我们使用下式计算本节中的种群多样性。这个式…

Java并发系列之四:重中之重AQS

上一期我们介绍了乐观锁&#xff0c;而乐观锁的本质即是CAS&#xff0c;操作系统提供了支持CAS修改内存值的原子指令&#xff0c;所以乐观锁得以实现。从软件工程的角度去看&#xff0c;虽然底层已经通过CAS实现了乐观锁&#xff0c;Java的底层已经在Unsafe这个类中封装了compa…

《Java极简设计模式》第02章:抽象工厂模式(AbstractFactoty)

作者&#xff1a;冰河 星球&#xff1a;http://m6z.cn/6aeFbs 博客&#xff1a;https://binghe.gitcode.host 文章汇总&#xff1a;https://binghe.gitcode.host/md/all/all.html 源码地址&#xff1a;https://github.com/binghe001/java-simple-design-patterns/tree/master/j…

3.病人排队

【题目】 病人登记看病&#xff0c;编写一个程序&#xff0c;将登记的病人按照以下原则排出看病的先后顺序&#xff1a; 老年人&#xff08;年龄 > 60岁&#xff09;比非老年人优先看病。 老年人按年龄从大到小的顺序看病&#xff0c;年龄相同的按登记的先后顺序排序。 非…

flask中实现restful-api

flask中实现restful-api 举例&#xff0c;我们可以创建一个用于管理任务&#xff08;Task&#xff09;的API。在这个例子中&#xff0c;我们将有以下API&#xff1a; GET /tasks: 获取所有任务POST /tasks: 创建一个新的任务GET /tasks/<id>: 获取一个任务的详情PUT /t…

prometheus+grafana进行服务器资源监控

在性能测试中&#xff0c;服务器资源是值得关注一项内容&#xff0c;目前&#xff0c;市面上已经有很多的服务器资 源监控方法和各种不同的监控工具&#xff0c;方便在各个项目中使用。 但是&#xff0c;在性能测试中&#xff0c;究竟哪些指标值得被关注呢&#xff1f; 监控有…