GTX IP生成及参数详解(高速收发器九)

  如下图所示,在IP Catalog中搜索gt,然后双击7 Series FPGAs Transcelvers Wizard打开IP配置界面。

在这里插入图片描述

图1 打开7 Series FPGAs Transcelvers Wizard IP

1、配置GT Selection界面

  首先进入GT Selection配置界面,这个界面主要关注红框部分。从前文对GT的时钟介绍可知,一个GT bank只有一个QPLL,红框部分表示把QPLL的代码放在IP外面实现,这样做的好处在于后续方便扩展收发器通道,便于二次开发。

在这里插入图片描述

图2 GT Selection配置界面

2、Line Rate, Transceiver Selection, and Clocking界面

  Line Rate, Transceiver Selection, and Clocking界面用于选择收发器位置和时钟,此页面左下图显示的可用收发器数量取决于所选的芯片型号和封装。

在这里插入图片描述

图3 Line Rate, Transceiver Selection, and Clocking界面

  (1)、协议选择:在协议处可以选择各种协议,本文选择白手起家,不选用其余上层协议。

在这里插入图片描述

图4 协议选择

  (2)、发送端口需要设置线速率、参考时钟频率、是否禁用接收通道。

  在本次设计中发送通道的线速率(Line Rate)设置为10Gbps,参考时钟频率(Reference Clock)设置为156.25MHz,启用接收通道。

  (3)、接收端口需要设置的参数与发送通道类似,接收通道的线速率(Line Rate)设置为10Gbps,参考时钟频率(Reference Clock)设置为156.25MHz,启用发送通道。

  (4)、用于选择高速收发器bank在FPGA内部的位置,是否启用QPLL的DRP接口,高速收发器在ZYNQ7030中的位置是固定的,位于右侧。

    Use Common DRP:勾选后,用户可以通过DRP接口动态配置QPLL,修改QPLL的参考时钟来源等等信息。

    Advanced Clocking Option:勾选后,可以把所有的参考时钟端口开放给用户,用于动态时钟切换。

    PRBS pattern generator and check:PRBS模式产生和检测功能经常用来验证信道质量测试。

  (5)、PLL选择

    对于GTX来说,如果发送通道和接收通道的线速率小于6.5Gbps,那么可以使用QPLL也可使用CPLL,否则只能使用QPLL。由于2和3处将线速率设置为10Gbps,因此此处只能使用QPLL生成的时钟作为发送通道和接收通道的时钟信号。

  (6)、收发器通道选择。
    在左下侧的框图中,可以选中相应的通道,然后在6中勾选Use GT XmYn,即可使用坐标为(Xm,Yn)的收发器。但是这种方式对于设计者来说并不方便,需要用户知道后续要使用通道在芯片中的坐标。

    还有另一种方式也可以对通道位置进行修改,就是通过约束发送和接收通道的引脚,来确定使用的通道,并且约束引脚的优先级大于此处IP设置的位置,因此常用的方式是通过约束引脚来确定使用具体的收发器资源。

    由此处可知,可以在一个IP中勾选多个通道,这种方式也行,但是更加常用的方法是生成单通道的IP,需要使用多通道时,多次例化该IP即可,这也是为什么需要把QPLL放在IP外部的原因,防止将QPLL多次例化。

    其中TX Clock Source用于确定发送通道的时钟来源,在前文分析过QPLL和CPLL的时钟只能来自GT bank的差分时钟引脚,因此TX Clock Source只能来自参考时钟0管脚或者参考时钟1管脚输入的差分时钟。

    RX Clock Source和TX Clock Source是来自同一个QPLL或者CPLL输出时钟,因此设置需要保持一致。

3、Encoding and Optional Ports界面

  如下图所示,对Encoding and Optional Ports界面进行配置,主要完成接收端编码、接收端解码、发送通道内部和接收通道内部同步数据的方式。

  (1)、发送通道的用户数据位宽及编码方式配置

    如果线速率大于6.5Gbps,那么用户数据位宽(Extemal Data Width)可以设置为32或者64位,否则用户数据位宽可以设置为16、20、32、40、64、80位。

    编码(Encoding)可以使用8B/10B、64B/66B、64B/67B,也可以不使用编码方式。

    线速率也会影响通道内部数据传输位宽的设置,如果线速率大于6.5Gbps,那么内部数据位宽(Internal Data Width)只能设置成40位,否则可以设置位16、20、32、40位。

    (Extemal Data Width)与(Internal Data Width)的相关问题在讲解发送通道的时候讲解过,需要了解的可以前往查看。

  (2)、接收通道的解码方式和数据位宽设置,与发送端保持一致即可,当然接收端和发送端的(Internal Data Width)不一致也不会影响数据传输,这个位宽是通道内部的一个位宽。

  (3)、DRP System Clock Frequecy:设置DRP接口的时钟频率,这个时钟对来源没有要求,因为是配置端口嘛,对速率一般没有要求。因此选中常用的100MHz系统时钟即可。

在这里插入图片描述

图5 配置Encoding and Optional Ports界面

  (4)、与编码相关的可选端口

表1 编码相关的可选端口
端口含义
TXBYPASS8B10B允许字节交错数据以每字节为基础旁路8B / 10B编码器,高电平有效。TX8B10BEN必须为高此信号才有用。TXBYPASS8B10B [ x] = 1,字节x绕过编码器。TXBYPASS8B10B [x] = 0,字节x使用编码器。
TXCHARDISPMODE在启用8B/10B编码时,这两个选项同时勾选可以在字节编码前将运行不一致性强制为正;只勾选“TXCHARDISPMODE”选项可以在字节编码前将运行不一致性强制为负;只勾选“TXCHARDISPVAL”选项时可以在字节编码前将运行的差异性反转;不勾选“TXCHARDISPMODE”和“ TXCHARDISPVAL”时可以保持运行的差异性不变。当发送通道不启用8B/10B编码时,可以利用“TXCHARDISPMODE”和“ TXCHARDISPVAL”扩展端口位宽。
TXCHARDISPVAL
RXCHARISCOMMA高电平有效,表示RXDATA上显示的相应字节是K码。
RXCHARISK高电平有效,当启用8B / 10B解码时,RXDATA上显示的相应字节为K字符。

  (5)、发送通道的同步设置

    在前文讲解发送通道时,经过这部分内容,可以使用buffer或者对齐电路来同步数据,buffer的劣势在于延迟比较大,对齐电路需要用户自己设计这部分电路,难度比较大。

    本文将发送端的buffer使能(勾选Enable TX Buffer),将PCS并行时钟域的时钟源(TXUSRCLK Source)设置为IP输出的时钟TXOUTCLK,并且勾选TXOUTCLK来源于发送通道的PLL参考时钟信号。

  (6)、接收通道的同步设置

    这部分内容与发送端也是类似的,只不过接收端的是弹性Buffer,相比发送端的buffer功能更多,详细内容可以查看接收通道弹性buffer部分。注意接收通道PCS并行时钟域(RXUSRCLK Source)也可以设置为TXOUTCLK。

  (7)、复位相关的可选端口

表2 复位相关的可选端口
端口含义
TXPCSRESET发送通道PCS复位信号,高电平有效。
TXPMARESET发送通道PMA复位信号,高电平有效。
TXSYSCLKSEL选择驱动TX数据路径的参考时钟源。
TXRATE传输速率改变端口,该端口动态控制TX串行时钟分频器D的设置,并与TXOUT_DIV一起使用,具体含义参考发送通道的时钟讲解。
TXBUFSTATUSTX buffer的状态,TXBUFSTATUS[1]为高电平表示TX buffer溢出或下溢状态。TXBUFSTATUS [0]表示TX buffer充满度,高电平表示TX buffer至少半满。
TX8B10BEN高电平表示启用8B / 10B编码器。
RXPCSRESET接收通道PCS复位信号,高电平有效。
RXPMARESET接收通道PMA复位信号,高电平有效。
RXSYSCLKSEL选择驱动RX数据路径的参考时钟源。
RXRATE接收速率更改端口,类似于TXPATE。
RXBUFSTATUS指示接收通道弹性buffer的状态:当检测到错误条件时,建议RX弹性缓冲器复位。
000b:在正常范围内
001b:缓冲区中的字节数小于CLK_COR_MIN_LAT
010b:缓冲区中的字节数大于CLK_COR_MAX_LAT
101b:RX弹性缓冲器下溢
110b:RX弹性缓冲区溢出
RXBUFRESET接收通道弹性buffer复位信号,高电平有效。当接收通道弹性buffer被旁路时,不能勾选此选项。
RXCDRHOLD保持CDR控制循环冻结。
CPLLPDCPLL掉电接口。
QPLLPDQPLL掉电接口。
CLKRSVDOOB电路时钟信号。

4、Alignment, Termination, and Equalization界面

  Alignment, Termination, and Equalization界面配置如下图所示,主要包括逗号对齐和均衡处理两个部分。

在这里插入图片描述

图5 配置Alignment, Termination, and Equalization界面

  (1)、逗号相关设置

    Use Comma Detection:启用接收K码检测,用于标识数据流中的K码字符和SONET框架字符。

    Decode Valid Comma Only:启用接收逗号检测时,将检测限制在特定的已定义逗号字符,即K28.1或K28.5。

    Comma Value:选择标准逗号模式或用户定义的模式之一以输入自定义模式。

    Plus Comma:表示要匹配的正差异K码的10位二进制模式(RD+),模式的最右边位是串行到达的第一位。

    Minus Comma:表示要匹配的负视差K码的10位二进制模式,模式的最右边位是串行到达的第一位。

    Comma Mask:10位二进制模式,表示逗号匹配模式的掩码。1表示要匹配逗号模式中的相应位,0表示不关心逗号模式中的相应位。

    Align to…可以选择Any Byte Boundary、Two Byte Boundary、FourByte Boundary等选项,一般保持默认设置即可。

    Any Byte Boundary:检测到逗号时,使用逗号模式将数据流与最近的字节边界对齐。

    Two Byte Boundary:检测到逗号时,使用逗号模式将数据流与2字节边界对齐。

    FourByte Boundary:检测到逗号时,使用逗号模式将数据流与4字节边界对齐。

    Combine plus/minus commas:表示使用双逗号检测功能。

  下表是逗号检测可选的一下信号,作为辅助控制或者检测。

表3 逗号对齐可选端口
选项含义
ENPCOMMAALIGN高电平有效,当检测到K码(RD+)模式时,启用字节边界对齐过程。
ENMCOMMAALIGN高电平有效,当检测到K码(RD-)模式时,启用字节边界对齐过程
RXSLIDE高电平有效,每次置位都会将字节对齐调整一位,优先于普通逗号对齐。
RXBYTEISALIGN高电平有效,表示接收端已经完成字节对齐。
RXBYTEREALIGN高电平有效,表示接收端正在进行字节对齐。
RXCOMMADET高电平有效,表示逗号对齐逻辑在数据流中检测到逗号模式。

  (2)发送端加重、接收端均衡设置

    Differential Swing and Emphasis Mode表示选择加重的模式,xilinx提供了一些加重模式,本文选择自定义模式即可,如下图所示。

在这里插入图片描述

图6 预设的加重模式

    Equalization Mode:设置接收通道的均衡模式,根据接收通道的讲解,一般设置为LPM就行。

    Automatic Gain Control:设置接收器的自动增益控制,设置为自动即可。

在这里插入图片描述

图7 均衡模式及接收幅度设置

  Termination Voltage:有GND、Floating、AVTT、可编程等几个选项。其中GND会使内部终端网络接地,Floating可隔离网络,AVTT会将内部参考电压源应用于终端网络,选择可编程选项后,可以通过更改Trim Value的值修改接收通道终端网络的电压。

  之后就是一些可选的端口,一般会勾选极性翻转信号,TXPRECURSOR、TXPOSTCURSOR、TXDIFFCTRL等信号。这些可选信号的含义如下表所示。

表4 加重等可选信号含义
选项含义
TXPOLARITY用于发送通道的差分引脚极性翻转,高电平有效。
TXINHIBIT强制变送器输出处于稳定状态,高电平有效。
TXDIFFCTRL发送通道的摆幅控制。
TXPOSTCURSORTXPOSTCURSOR端口。
TXPRECURSORTXPRECURSOR端口。
TXMAINCURSOR高电平有效信号可启用QPI偏置。
TXQPISENN传输QPI端口(负极性)。
TXQPISENP传输QPI端口(正极性)。
TXQPIBIASEN高电平使能QPI偏置。
TXQPIWEAKUPQPI高电平有效信号发送器。
TXQPISTRONGPDOWN传输QPI掉电端口。
RXPOLARITY反转接收数据信号的极性,高电平有效。
RXDFELPMRESET复位接收DFE/LPM模块。
RXDFEAGCOVRDENDFE AGC覆盖的高电平有效信号。
RXLPMLFKLOVRDEN接收低通覆盖使能端口。
RXQPIEN禁用QPI协议的接收端接。
RXQPISENN检测输出在MGTRX N引脚上的电平状态。
RXQPISENP检测输出在MGTRX P引脚上的电平状态。
RXLPMEN高电平启用带有自适应线性均衡器的LPM模式,低电平启用高性能DFE模式使能。

5、PCIE & SATA & PRBS

  之后需要对PCIE,SATA,PRBS界面进行配置,如下图所示,这个页面多数功能与PCIE和SATA有关;当不使用这两种协议时,只需要将回环控制引出即可,其余设置保持默认即可。

在这里插入图片描述

图8 PCIE & SATA & PRBS

  (1)PCIE和SATA协议相关的设置

    Enable PCI Express:启用PCI Express特定的某些功能,包括启用PCI Express断电模式和PCIe通道绑定的选项。当收发器用于PCI Express时,应勾选此选项。

    SATA COM Sequence的Bursts:表示声明COM匹配的突发序列数,取[0,7]的整数值,默认为4。

    SATA COM Sequence的Idles:指示声明COM匹配的空闲序列的数量,取[0,7]的整数值,每个空闲信号都是一个OOB信号,其长度与COMINIT/ COMRESET或COMWAKE相匹配。

  PCIE过渡时间(Transition Time)包含To P2、From P2、TO/From Non P2三个参数,但本工程并不会使用这些参数。

    To P2:取值范围[0,65535],设置计数器以确定PCI Express到P2电源状态的转换时间,默认值为100。

    From P2:取值范围[0,65535],设置计数器以确定PCI Express从P2电源状态的转换时间,默认值为60。

    TO/From Non P2:取值范围[0,65535],设置计数器以确定PCI Express进入或离开P2以外的电源状态的转换时间,默认值为25。

  其余的端口信号如下表所示。

表5 可选的端口信号
选项含义
LOOPBACK回环模式的设置信号。
RXCOMWAKEDET唤醒检测信号,高电平有效。
TXDETECTRXPIPE接口,用于符合PCI Express规范的控制信号。功能取决于TXPOWERDOWN、RXPOWERDOWN、TXELECIDLE、TXCHARDISPMODE和TXCHARDISPVAL的状态。如果RXSTATUS编码格式设置为SATA,则此端口不可用。
RXSTATUS3位接收器状态信号,该信号的编码取决于RXSTATUS编码格式的设置。
TXCOMINIT传输初始化端口。
TXELECIDLE将变送器驱动至电气空闲状态(无差分电压)。在PCI Express模式下,用于电气空闲模式。功能取决于TXPOWERDOWN、RXPOWERDOWN、TXELECIDLE、TXCHARDISPMODE和TXCHARDISPVAL的状态。
RXVALID高电平有效PCI Express接收OOB/信标信号。指示RXDATA和RXCHARISK[3:0]上的符号锁定和有效数据。
TXCOMSASOOB信号。
PHYSTATUSPCI Express接收检测支持信号,表示完成了几个PHY功能。
RXCOMINITDET高电平有效初始化检测信号。
TXCOMWAKEOOB信号。
RXCOMSASDETSATA的高电平有效检测信号。
TXCOMFINISHOOB的完成。
TXPOWERDOWN发送通道的掉电端口。
RXPOWERDOWN接收通道的掉电端口。

  (2)、OOB功能相关设置

    Use RX OOB Signal Detection:启用内部OOB信号检测器,OOB信号检测用于PCIe和SATA。

    Use PRBS Detector:启用内部PRBS检测器,应用程序可以使用该功能来实现内置自测。

    Use Port TXPRBSSEL:选择PRBS传输控制端口。

    Use Port TXPRBSFORCEERR:启用PRBS强制误差控制端口,将错误数据插入比特流。

    RXPRBSERR_LOOPBACK:选择此选项将RXPRBSERR位回送至同一收发器的TXPRBSFORCEERR。

  该页面就配置完成了,可选的端口很多,但如果不使用PCIE和SATA协议,只需要勾选回环模式控制即可。

6、CB and CC Sequence页面配置

  最后一个配置页面如下所示,主要包含通道绑定和时钟校准两部分,其中通道绑定是指同时使用多个通道时,多个通道之间需要同步数据,防止数据错位引发的错误,典型应用就是PCIE。

  时钟校准可以查看接收通道的讲解,已经详细讲解过原理,此处不再赘述。

在这里插入图片描述

图9 配置CB and CC Sequence页面

  (1)通道绑定相关设置

    Use Channel Bonding:使用唯一字符序列启用接收器通道绑定逻辑。识别后,允许在接收缓冲器中添加或删除这些字符,以对多个数据收发器进行字节对齐。

    Use Two Channel Bonding Sequences:激活可选的第二通道绑定序列,检测到任一序列都会触发通道绑定。

    Sequence Max Skew:从下拉列表中选择通道绑定可以处理的最大字符倾斜。必须始终小于通道绑定序列之间最小距离的一半。

    Sequence Length:从下拉列表中选择唯一通道绑定序列中的字符数。

  本文只使用了一个收发器,所以不需要使用通道对齐。

  (2)、时钟校准

    Use Clock Correction:使用唯一字符序列使能接收器时钟校正逻辑。识别后,允许在接收通道的弹性buffer中添加或删除这些字符,以防止由于发送/接收时钟频率的微小差异而导致弹性buffer下溢或上溢。

    PPM Offset:指示发送和接收时钟之间的PPM偏移。

    Use Two Clock Correction Sequences:激活可选的第二时钟校正序列,检测到任一序列都会触发时钟校正。

    Periodicity of the CC Sequence:指示在数据流中插入CC序列的间隔。

    Sequence Length:从下拉列表中选择唯一时钟校正序列中的字符(子序列)数量。

  本文设置两个字符用于时钟纠正,分别是K28.5的8’hBC和8’h50,当弹性buffer中数据过少时,可以复制8’hBC和8’h50这两个字符,存入弹性buffer,防止其下溢出。

  至此GTX IP的配置就完成了,参数相对其他IP来说会比较多,但是经过前几节文章的讲解,对于常用参数应该还是比较容易理解,GTX可以自定义PHY功能,所以掌握这个IP至关重要。

  最终IP配置结果如下所示,参考时钟使用156.25MHz,接收通道和发送通道的用户时钟信号频率均为250MHz。发送通道和接收通道都启用了buffer作为缓冲,同步数据,代价是延迟会比较大,约为几十个时钟周期。

在这里插入图片描述

图10 IP配置汇总

  关于GTX IP配置的讲解到此结束,参数说明参考PG168手册,该手册可以在xilinx官网获取,也可以在公众号后台回复“xilinx手册”(不包括引号)获取。GTP的时钟配置应该会有部分区别,其余配置应该差不多。


  如果对文章内容理解有疑惑或者对代码不理解,可以在评论区或者后台留言,看到后均会回复!

  如果本文对您有帮助,还请多多点赞👍、评论💬和收藏⭐!您的支持是我更新的最大动力!将持续更新工程!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/pingmian/17075.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

BioTech - 输入 自定义复合物(Multimer)模版 使用 AlphaFold2 进行精细预测

欢迎关注我的CSDN:https://spike.blog.csdn.net/ 本文地址:https://blog.csdn.net/caroline_wendy/article/details/139234247 参考:研发 AlphaFold2 输入自定义模版 (Template) 的接口 在 AlphaFold 预测蛋白质三维结构中,结构 模版(Template) 起着关键作用: 蛋白质结构…

实战 | 使用YoloV8实例分割识别猪的姿态(含数据集)

导 读 本文主要介绍如何使用YoloV8实例分割识别猪的姿态(含数据集)。 背景介绍 在本文中,我将介绍如何使用YoloV8在猪的自定义数据集上进行实例分割,以识别和跟踪它们的不同姿态。 数据集 使用的数据集来源于Kokkenborg Aps&…

【话题】你眼中的IT行业现状与未来趋势

大家好,我是全栈小5,欢迎阅读小5的系列文章,这是《话题》系列文章 目录 引言一、IT行业的现状1.1 云计算与大数据1.2 人工智能与机器学习1.3 物联网与5G通信1.4 区块链技术 二、IT行业未来发展趋势2.1 边缘计算与智能设备2.2 深度学习与自然语…

告别繁琐!Xinstall助你轻松实现APP地推结算,提升推广效率

随着移动互联网的迅猛发展,APP市场竞争日益激烈。面对线上推广转化率下降、成本上升的挑战,越来越多的APP厂商开始尝试线下地推这一更为直接、有效的推广方式。然而,地推结算过程中的种种问题却让许多企业头痛不已。今天,我们将为…

亲测使用frp获得访问者真实ip

怎么访问都只有127.0.0.1这个内网ip,获取不到访问者的真实ip 1.打开frp的配置文件(一般是frpc.toml,无需设置frps.toml) 在每一个tcp协议中添加 transport.proxyProtocolVersion "v2" 实例: # frpc.toml [[proxies]] name "web" …

CSS学习笔记:flex布局(弹性布局)

设置flex布局 父元素添加display: flex 使用justify-content调节元素在主轴的对齐方式 给父元素添加justify-content属性,取值如下 用于调节子元素在主轴方向(水平方向)的对齐方式 使用align-items调节元素在侧轴的对齐方式 给父元素添加…

华为机考入门python3--(31)牛客31-单词倒排

分类:字符串、正则 知识点: 正则提取所有符合的字符串 words re.findall(r[a-zA-Z], sentence) 列表倒序 words[::-1] 题目来自【牛客】 import re # 导入正则表达式模块def reverse_words(sentence):# 使用正则表达式将句子拆分成单词# 如可以将…

GM Bali,OKLink受邀参与Polygon AggIsland大会

5月16日-17日,OKLink 受到生态合作伙伴 Polygon 的特别邀请,来到巴厘岛参与以 AggIsland 为主题的大会活动并发表演讲,详细介绍 OKLink 为 Polygon 所带来的包括多个浏览器和数据解析等方面的成果,并与 Polygon 一起,对…

Apache Flink CDC 3.1.0版本知识学习

Apache Flink CDC 3.1.0版本知识学习 一、Flink CDC 3.1 快速预览二、Transformation 支持三、分库分表合并支持四、使用 Kafka Pipeline Sink 高效写入 Canal/Debezium 格式数据五、更高效地实时入湖 Paimon六、其他改进七、Flink CDC 3.1 版本兼容性 一、Flink CDC 3.1 快速预…

phpmyadmin漏洞汇总

phpmyadmin是一个非常常用的框架,所以学习它的常见漏洞是非常必要的。 参考大佬的文章进行自学:最全phpmyadmin漏洞汇总_phpmyadmin弱口令-CSDN博客 目录 phpmyadmin简介 查看phpmyadmin版本 漏洞及利用 万能密码登入 影响版本 漏洞POC 远程代码…

【传知代码】无监督动画中关节动画的运动表示(论文复现)

前言:在数字动画的广袤领域中,关节动画以其真实、流畅的运动表现,一直占据着举足轻重的地位。无论是电影中的震撼特效,还是游戏世界的角色互动,关节动画都以其细腻的运动表现,赋予虚拟世界以生动与活力。然…

Excel某列中有不连续的数据,怎么提取数据到新的列?

这里演示使用高级筛选的例子: 1.设置筛选条件 在D2单元格输入公式:COUNTA(A4)>0 这里有两个注意事项: *. 公式是设置在D2单元格,D1单元格保持为空, **. 为什么公式中选A4单元格,A列的第一个数据在A3…

CPU数据传送控制方式

引入 为了实现CPU与I/O设备信息交换的同步 无条件传送 示例1: 示例2: 程序查询方式 引入 工作过程 单个外设 多个外设 特点 中断传送方式 工作过程 特点 DMA 概述 DMAC功能 总线请求信号HOLD 总线请求响应信号HOLDA 工作过程 小结

景源畅信:新手做抖音运营难不难?

在这个信息爆炸的时代,社交媒体平台如抖音已经成为了人们日常生活中不可或缺的一部分。随着抖音的兴起,越来越多的人开始尝试进入这个领域,希望通过抖音运营实现自己的价值。然而,对于新手来说,抖音运营是否真的容易呢…

文心智能体大赛:情深智导

情深智导 概述限制澄清个性化 预览体验 概述 情深向导,致力于为您和您的孩子提供科学、个性化的情感支持与指导。无论您在家庭教育上遇到什么困惑,或是想了解孩子的内心世界,我都会在您身边,与您共同探索,助力亲子关系…

keepalived交叉编译

前言 Keepalived可以实现对服务器的健康检查,当主服务器出现故障时,自动将服务切换到备份服务器上,确保服务的连续性,避免单点故障。它还可以管理一个虚拟 IP 地址,在主备服务器之间进行灵活切换,对外提供…

使用 Python 编程语言进行供应链分析

前言 要分析一家公司的供应链,我们需要供应链不同阶段的数据,如有关采购、制造、运输、库存管理、销售和客户人口统计的数据。我为这项任务找到了一个理想的数据集,其中包括一家时尚和美容初创公司的供应链数据。 1. 相关数据集 让我们导入…

打造坦克游戏:类方法的深入解析

新书上架~👇全国包邮奥~ python实用小工具开发教程http://pythontoolsteach.com/3 欢迎关注我👆,收藏下次不迷路┗|`O′|┛ 嗷~~ 目录 一、引言:坦克游戏与类方法的结合 二、坦克类的属性与方法概述 1. 属性定义与初…

Modbus协议基础

文章目录 Modbus概述Modbus TCP/IP Modbus概述 Modbus是由Modicon(现为施耐德电气公司的一个品牌)在1979年发明的,是全球第一个真正用于工业现场的应用层总线协议。 为更好地普及和推动Modbus在基于以太网上的分布式应用,目前施…

Ubuntu 安装 LibreOffice

1. 删除预安装的LibreOffice Ubuntu 和其他的 Linux 发行版带有预安装的 LibreOffice。这可能不是最新的,这是因为发行版有特定的发行周期。在进行新安装之前,你可以通过以下命令删除 Ubuntu 及其衍生发行版中的的旧版本。 sudo apt remove –purge li…