[Mac + Icarus Verilog + gtkwave] Mac运行Verilog及查看波形图

目录

  • 1. MAC安装环境
    • 1. 1 Icarus Verilog 编译
    • 1. 2 gtkwave 查看波形
  • 2. 安装遇到的问题
    • 2. 1 macOS cannot verify that this app is free from malware
    • 2. 2 gtkwave-bin is not compatible with macOS 14 or later
  • 3. 运行示例
    • 3. 1 源代码
    • 3. 2 编译Verilog
    • 3. 3 生成.vcd文件
    • 3. 3 查看波形
    • 3. 4 脚本运行
  • 参考




1. MAC安装环境

1. 1 Icarus Verilog 编译

使用HomeBrew安装iVerilog (icarus-verilog):

brew install icarus-verilog

1. 2 gtkwave 查看波形

brew install --HEAD randomplum/gtkwave/gtkwave

2. 安装遇到的问题

2. 1 macOS cannot verify that this app is free from malware



  • Install the app anyway: If you downloaded an app from a trusted source but still can’t open it because of the “macOS cannot verify that this app is free from malware” error, you can try installing the app anyway. To do this, hold down Control and click on the app, then select “Open” from the context menu.
  • Redownload the app: If the digital signature of the app is invalid or corrupted, you can try redownloading the app from the developer’s website.
  • Use a different app: If you can’t get the app to work, consider using a different app that provides similar functionality.

2. 2 gtkwave-bin is not compatible with macOS 14 or later

This version of “gtkwave-bin” is not compatible with macOS 14 or later and needs to be updated. Contact the app developer for more information.

brew uninstall gtkwave
brew untap randomplum/gtkwave
brew install --HEAD randomplum/gtkwave/gtkwave
  • 参考:Not Compatible with macOS 14 #2517

3. 运行示例

3. 1 源代码

  • simple_circuit.v
module	simple_circuit(input a, input b, output y);  assign y = a & b;
endmodule
  • test_bench.v
module	test_bench;reg		a, b;wire	y;// 实例化被测试单元。这行代码用于实例化一个名为 simple_circuit 的模块,并将其连接到测试平台中的信号。uut是该实例的名称,表示 "Unit Under Test"(被测试单元)。simple_circuit	uut (.a(a), .b(b), .y(y));// 应用输入initial	begin$dumpfile("./out/output_vcd.vcd");$dumpvars;a = 0; b = 0;#10 a = 0; b = 1;#10 a = 1; b = 0;#10 a = 1; b = 1;#10 $finish;end
endmodule

3. 2 编译Verilog

iverilog -o ./out/output_exe test_bench.v simple_circuit.v

这条命令使用 iverilog 编译器将 test_bench.v 和 simple_circuit.v 文件编译成一个可执行的仿真文件,并将其输出到 ./out/output_exe。

3. 3 生成.vcd文件

vvp ./out/output_exe
  • 这条命令使用vvp仿真器运行之前生成的 ./out/output_exe 仿真文件。运行过程中,如果 Verilog 代码中包含生成波形文件的指令(如 $dumpfile$dumpvars),将会生成一个 .vcd 文件(波形文件)。
  • vvp的全称是 Verilog Procedural Processor。它是Icarus Verilog仿真器的一部分,用于执行由iverilog编译器生成的仿真文件。

3. 3 查看波形

gtkwave out/output_vcd.vcd

3. 4 脚本运行

  • run.sh
echo "Running the script >>>"echo "1.Compiling the verilog code: "
iverilog -o ./out/output_exe test_bench.v simple_circuit.vecho "2.Running the executable: "
vvp ./out/output_exeecho "3.Generating the wave form: "
gtkwave out/output_vcd.vcd
  • 然后,添加执行权限,再执行该脚本:
chmod +x run.sh
./run.sh



参考

  • hello-verilog
  • How to fix “macOS cannot verify that this app is free from malware” error
  • Mac上进行Verilog仿真

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/893137.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

FRP内网穿透0.61.1新版教程

在上一篇zerotier讲述了如何实现虚拟局域网搭建,这篇会讲述FRP内网穿透的使用教程 那么frp与zerotier的区别是什么呢?(说人话) FRP 主要用于内网服务向外网的单向暴露。 ZeroTier 用于构建一个虚拟的私有网络,实现多点…

如何通过 Apache Airflow 将数据导入 Elasticsearch

作者:来自 Elastic Andre Luiz 了解如何通过 Apache Airflow 将数据导入 Elasticsearch。 Apache Airflow Apache Airflow 是一个旨在创建、安排(schedule)和监控工作流的平台。它用于编排 ETL(Extract-Transform-Load&#xff0…

通过图形界面展现基于本地知识库构建RAG应用

1. 客户需求 快速完成概念验证(PoC)通过图形界面快速完成演示本地私有数据对比不同模型和成本,决定如何部署 2. 阿里云基于本地知识库构建RAG应用 参考方案: 百炼本地知识库方案 解决方案: FastAPI Gradio Llamaindex qwen-plus 主要三大…

TP4056锂电池充放电芯片教程文章详解·内置驱动电路资源!!!

目录 TP4056工作原理 TP4056引脚详解 TP4056驱动电路图 锂电池充放电板子绘制 编写不易,仅供学习,感谢理解。 TP4056工作原理 TP4056是专门为单节锂电池或锂聚合物电池设计的线性充电器,充电电流可以用外部电阻设定,最大充电…

【Vim Masterclass 笔记21】S09L39:Vim 设置与 vimrc 文件的用法示例(二)

文章目录 S09L39 Vim Settings and the Vimrc File - Part 21 Vim 的配色方案与 color 命令2 map 命令3 示例:用 map 命令快速生成 HTML 代码片段4 Vim 中的 Leader 键5 用 mkvimrc 命令自动生成配置文件 写在前面 本篇为 Vim 自定义配置的第二部分。当中的每个知识…

论文速读|ParGo: Bridging Vision-Language with Partial and Global Views.AAAI25

论文地址:https://arxiv.org/abs/2408.12928 代码地址:https://github.com/bytedance/ParGo bib引用: misc{wang2025pargobridgingvisionlanguagepartial,title{ParGo: Bridging Vision-Language with Partial and Global Views}, author{An…

2024年博客之星年度评选—创作影响力评审入围名单公布

2024年博客之星活动地址https://www.csdn.net/blogstar2024 TOP 300 榜单排名 用户昵称博客主页 身份 认证 评分 原创 博文 评分 平均 质量分评分 互动数据评分 总分排名三掌柜666三掌柜666-CSDN博客1001002001005001wkd_007wkd_007-CSDN博客1001002001005002栗筝ihttps:/…

20250118拿掉荣品pro-rk3566开发板上Android13下在uboot和kernel启动阶段的Rockchip这个LOGO标识

20250118拿掉荣品pro-rk3566开发板上Android13下在uboot和kernel启动阶段的Rockchip这个LOGO标识 2025/1/18 15:12 缘起:做飞凌OK3588-C开发板/核心板【Linux R4】的时候,测试/生产要求没有开机LOGO【飞凌/Rockchip】 要求:黑屏或者中性界面。…

【转】厚植根基,同启新程!一文回顾 2024 OpenHarmony 社区年度工作会议精彩瞬间

在数字化浪潮奔腾不息的今天,开源技术已成为推动科技创新与产业发展的强大引擎。2025年1月10日-11日,OpenAtom OpenHarmony(开放原子开源鸿蒙,以下简称“OpenHarmony”或“开源鸿蒙”)社区2024年度工作会议于深圳盛大启…

Mybatis 进阶 / Mybatis—Puls (详细)

目录 一.动态SQL 1.1标签 1.2 标签 1.3标签 1.4标签 1.5标签 1.6标签 mybatis总结: 二.Mybatis-Puls 2.1准备工作 2.2CRUD单元测试 2.2.1创建UserInfo实体类 2.2.2编写Mapper接⼝类 2.2.3 测试类 2.3 常见注解 2.3.1TableName 2.3.2TableField 2.4打印日…

Go 切片:用法和本质

要想更好的了解一个知识点,实战是最好的经历。 题目 我这里放一道题目: package mainimport "fmt"func SliceRise(s []int) {s append(s, 0)for i : range s {s[i]}fmt.Println(s) }func SlicePrint() {s1 : []int{1, 2}s2 : s1s2 append…

如何下载对应城市的地理json文件

这里采用的是阿里地图工具进行查找: DataV.GeoAtlas地理小工具系列 由阿里云DataV数据可视化团队出品,多年深耕数据可视化领域,数据大屏业务开拓者和领航者。致力用震撼而清晰的视觉语言,让更多人读懂大数据,受惠数据驱动的决策方式 第一步打开网站 : …

AI 大爆发时代,音视频未来路在何方?

AI 大模型突然大火了 回顾2024年,计算机领域最大的变革应该就是大模型进一步火爆了。回顾下大模型的发展历程: 萌芽期:(1950-2005) 1956年:计算机专家约翰麦卡锡首次提出“人工智能”概念,标志…

解决wordpress媒体文件无法被搜索的问题

最近,我在wordpress上遇到了一个令人困扰的问题:我再也无法在 WordPress 的媒体库中搜索媒体文件了。之前,搜索媒体非常方便,但现在无论是图片还是其他文件,似乎都无法通过名称搜索到。对于我这样需要频繁使用图片的博主来说,这简直是个大麻烦。 问题源头 一开始,我怀…

代码随想录训练营第五十一天| 99.岛屿数量 深搜 岛屿数量 广搜 100.岛屿的最大面积

99.岛屿数量 深搜 题目链接:99. 岛屿数量 讲解链接:代码随想录 就是dfs模版题目 在dfs里可以先定义方向数组移动 再遍历分别向四个方向移动 同时记得更新当前nextx nexty 再判断是否越界 再执行判断条件 当前位置未走过 visited[i][j] false 一开始jav…

springboot之YAML语法

目录 一、基本语法 写一个端口号和一个路径 Controller里的方法: 然后这样写才能访问到: 这是在yml里面写的,也可以写在properties里 再访问: 二、值的写法 1.普通类型(数字、字符串、布尔) 例子1: 配置文件…

ASP .NET Core 学习 (.NET 9)- 创建 API项目,并配置Swagger及API 分组或版本

本系列为个人学习 ASP .NET Core学习全过程记录,基于.NET 9 和 VS2022 ,实现前后端分离项目基础框架搭建和部署,以简单、易理解为主,注重页面美观度和后台代码简洁明了,可能不会使用过多的高级语法和扩展,后…

LuaJIT Garbage Collector Algorithms

Explain 本篇文章是对Make Pall发表wili内容《LuaJIT 3.0 new Garbage Collector》的翻译和扩展,因为原文是对LuaJIT 2.x GC重要功能的简介和对LuaJIT 3.0 new GC的工作计划,所以它并不是系统性介绍GC的文章。希望以后能有精力系统性的对LuaJIT 2.x GC做…

ChatGPT大模型极简应用开发-CH1-初识 GPT-4 和 ChatGPT

文章目录 1.1 LLM 概述1.1.1 语言模型和NLP基础1.1.2 Transformer及在LLM中的作用1.1.3 解密 GPT 模型的标记化和预测步骤 1.2 GPT 模型简史:从 GPT-1 到 GPT-41.2.1 GPT11.2.2 GPT21.2.3 GPT-31.2.4 从 GPT-3 到 InstructGPT1.2.5 GPT-3.5、Codex 和 ChatGPT1.2.6 …

基于单片机的直流电机控制系统(论文+源码)

1 系统方案设计 本设计基于单片机的直流电机控制系统的总体架构设计如图2.1所示,其采用STM32F103单片机作为控制器,结合ESP8266 WiFi通信模块、L9110电机驱动电路、OLED液晶、按键等构成整个系统。用户在使用时,可以通过按键或者手机APP设定直…