使用system verilog进行流水灯和VGA打印字符

使用system verilog进行流水灯和VGA打印字符

目录

  • **使用system verilog进行流水灯和VGA打印字符**
    • **system verilog的优点**
    • **VGA程序编写**
      • VGA 控制器模块
      • 字符生成模块
      • 顶层模块
      • 测试基准程序
      • **效果**
    • **流水灯程序设计**
      • **效果**
    • **总结**

system verilog的优点

面向对象编程:SystemVerilog 引入了面向对象的编程特性,如类(class)和继承,这有助于创建可重用的代码和设计模式。

增强的验证能力:SystemVerilog 支持断言(assertions)和覆盖率(coverage)分析,这些是验证复杂设计的关键工具。

并发建模:SystemVerilog 允许更自然地建模并发事件,通过使用 fork-join 语句来创建并行线程。

更丰富的数据类型:SystemVerilog 增加了多种数据类型,例如枚举(enum)、结构体(struct)、联合体(union)和数组,这些使得数据建模更加灵活和强大。

参数化编程:通过宏和参数化编程,SystemVerilog 允许更灵活的设计,可以更容易地创建可配置和可重用的设计组件。

改善的模块间连接:SystemVerilog 引入了接口(interface)的概念,简化了模块间的连接,并使得设计更加模块化。

更高级的建模能力:SystemVerilog 支持更高层次的抽象建模,有助于设计者在系统级别进行设计和验证。

随机化测试:SystemVerilog 支持随机化测试,可以自动生成测试向量,这有助于更全面地验证设计。

更有效的代码复用:通过封装和模块化,SystemVerilog 促进了代码的复用,提高了设计效率。

标准和兼容性:SystemVerilog 作为 IEEE 标准的一部分,确保了设计和验证方法的兼容性和标准化。

VGA程序编写

VGA 控制器模块

首先是 VGA 控制器模块,负责生成 VGA 信号和像素坐标。

module VGAController (input logic clk,      // 时钟input logic reset,    // 复位output logic hsync,   // 水平同步信号output logic vsync,   // 垂直同步信号output logic [9:0] pixelX,  // 像素 X 坐标output logic [9:0] pixelY,  // 像素 Y 坐标output logic displayOn       // 是否显示像素
);// VGA 时序参数parameter H_VISIBLE_AREA = 640;parameter H_FRONT_PORCH = 16;parameter H_SYNC_PULSE = 96;parameter H_BACK_PORCH = 48;parameter H_TOTAL = H_VISIBLE_AREA + H_FRONT_PORCH + H_SYNC_PULSE + H_BACK_PORCH;parameter V_VISIBLE_AREA = 480;parameter V_FRONT_PORCH = 10;parameter V_SYNC_PULSE = 2;parameter V_BACK_PORCH = 33;parameter V_TOTAL = V_VISIBLE_AREA + V_FRONT_PORCH + V_SYNC_PULSE + V_BACK_PORCH;// 水平和垂直计数器logic [9:0] hCounter;logic [9:0] vCounter;// 水平计数器更新always_ff @(posedge clk or posedge reset) beginif (reset) beginhCounter <= 0;vCounter <= 0;end else beginif (hCounter == H_TOTAL - 1) beginhCounter <= 0;if (vCounter == V_TOTAL - 1) beginvCounter <= 0;end else beginvCounter <= vCounter + 1;endend else beginhCounter <= hCounter + 1;endendend// 生成同步信号assign hsync = !(hCounter >= H_VISIBLE_AREA + H_FRONT_PORCH && hCounter < H_VISIBLE_AREA + H_FRONT_PORCH + H_SYNC_PULSE);assign vsync = !(vCounter >= V_VISIBLE_AREA + V_FRONT_PORCH && vCounter < V_VISIBLE_AREA + V_FRONT_PORCH + V_SYNC_PULSE);// 输出像素坐标和显示信号assign pixelX = hCounter;assign pixelY = vCounter;assign displayOn = (hCounter < H_VISIBLE_AREA) && (vCounter < V_VISIBLE_AREA);
endmodule

字符生成模块

接下来是字符生成模块,根据像素坐标生成 “你好FPGA” 字符。

module CharGen (input logic clk,          // 时钟input logic reset,        // 复位input logic [9:0] pixelX, // 像素 X 坐标input logic [9:0] pixelY, // 像素 Y 坐标input logic displayOn,    // 是否显示像素output logic [3:0] red,   // 红色分量output logic [3:0] green, // 绿色分量output logic [3:0] blue   // 蓝色分量
);// 字符映射表,可以通过像素坐标获取相应的像素值reg [63:0] charMap [0:6] = '{64'h7C121212127C00, 64'h42427E424200, 64'h7E0909090000, 64'h7E0909090600, 64'h3E414949493200, 64'h7E09097E0000, 64'h00000000000000};// 计算字符索引和像素在字符中的位置reg [3:0] charIndex;reg [2:0] charRow, charCol;reg [2:0] pixelXInChar, pixelYInChar;reg charPixel;always_ff @(posedge clk or posedge reset) beginif (reset) begincharIndex <= 0;charRow <= 0;charCol <= 0;pixelXInChar <= 0;pixelYInChar <= 0;charPixel <= 0;end else begin// 计算当前字符索引charCol <= pixelX / 8;charRow <= pixelY / 12;charIndex <= charCol + (charRow * 8);// 计算像素在字符中的位置pixelXInChar <= pixelX % 8;pixelYInChar <= pixelY % 12;// 获取当前像素值charPixel <= (charMap[charIndex])[63 - (pixelYInChar * 8 + pixelXInChar)];endend// 生成 RGB 信号always_comb begincase (charPixel)1'b0: beginred = 4'h0;green = 4'h0;blue = 4'h0;end1'b1: beginred = 4'hF;green = 4'hF;blue = 4'hF;enddefault: beginred = 4'h0;green = 4'h0;blue = 4'h0;endendcaseend
endmodule

顶层模块

以下是顶层模块,将 VGA 控制器和字符生成模块结合在一起,并输出到开发板上。

module Top (input logic clk,   // 时钟input logic reset, // 复位output logic [3:0] red,     // 红色信号output logic [3:0] green,   // 绿色信号output logic [3:0] blue,    // 蓝色信号output logic hsync,         // 水平同步信号output logic vsync          // 垂直同步信号
);// 实例化 VGA 控制器和字符生成模块VGAController vgaController (.clk(clk),.reset(reset),.hsync(hsync),.vsync(vsync),.pixelX(pixelX),.pixelY(pixelY),.displayOn(displayOn));CharGen charGen (.clk(clk),.reset(reset),.pixelX(pixelX),.pixelY(pixelY),.displayOn(displayOn),.red(red),.green(green),.blue(blue));endmodule

测试基准程序

最后是测试基准程序,用于测试顶层模块的功能。

module Top_tb;// 时钟和复位信号logic clk;logic reset;// VGA 控制器和字符生成模块的信号logic hsync;logic vsync;logic [9:0] pixelX;logic [9:0] pixelY;logic displayOn;logic [3:0] red;logic [3:0] green;logic [3:0] blue;// 实例化被测试的模块Top dut (.clk(clk),.reset(reset),.hsync(hsync),.vsync(vsync),.red(red),.green(green),.blue(blue));// 时钟生成always #5 clk = ~clk;// 复位信号生成initial beginreset = 1;#10;reset = 0;#10000;$finish;end// 输出测试结果always @(posedge clk) begin$display("hsync: %b, vsync: %b, red: %h, green: %h, blue: %h", hsync, vsync, red, green, blue);endendmodule

效果

在这里插入图片描述

流水灯程序设计

流水灯模块

module LED(input clk,input rst_n,  // _n低电平有效output logic [3:0] led
);// 1.5s计数器
logic [27:0] cnt;
parameter int TIME_1_5S = 75_000_000;
// 由于cnt已经声明为logic类型,不需要额外的信号声明logic add_cnt;logic end_cnt;// 赋值语句不需要阻塞赋值的begin-end结构
always_ff @(posedge clk or negedge rst_n) beginif (!rst_n) begincnt <= 28'd0;end else if (add_cnt) beginif (end_cnt) begincnt <= 28'd0;end else begincnt <= cnt + 1;endend
end// 使用always_comb声明组合逻辑
always_comb beginadd_cnt = 1'b1;end_cnt = add_cnt && cnt == (TIME_1_5S - 1);
end// 拼接法控制LED
always_ff @(posedge clk or negedge rst_n) beginif (!rst_n) beginled <= 4'b1110;end else if (end_cnt) beginled <= {led[2:0], led[3]}; // 流水灯end
endendmodule

顶层模块设计

`timescale 1ns/1ns
module     LED_tb();reg   tb_clk;
reg   tb_rst_n;
wire  [3:0]tb_led;LED #(.TIME_1_5S(750))           inst_LED(.clk      (tb_clk     ) ,.rst_n    (tb_rst_n   ) ,.led      (tb_led )   
);parameter cycle =20 ;//时钟周期为20nsalways#(cycle/2)tb_clk=~tb_clk;//过时钟周期一半取反initial begintb_clk=1'b0;tb_rst_n=1'b0;#(cycle*3);tb_rst_n=1'b1;#(cycle*751*16);$stop;endendmodule

效果

在这里插入图片描述

在这里插入图片描述

总结

这次对system verilog的编写让我对system verilog有了清晰的认识。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/847211.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

618购物狂欢,好物榜单揭晓!一文了解那些值得入手的数码产品

随着618购物狂欢节的脚步渐近&#xff0c;想必各位消费者已经开始规划自己的购物清单了。毕竟&#xff0c;这样的年度大促是难得的省钱良机&#xff0c;许多平日里心仪已久的大牌商品和高价宝贝都在等待着这一天的优惠。错过了618&#xff0c;恐怕又要等上半年才能遇到如此诱人…

如何制作不限扫描次数的视频二维码?1分钟教程

不可否认的是&#xff0c;视频二维码正在各行各业发挥重要作用。不论是在线上宣传、线下活动&#xff0c;还是产品包装、展览展会上&#xff0c;只需要扫描视频二维码&#xff0c;就能在手机端获得专业的视频教程、查看品牌宣传视频、了解活动流程、快速获取关键信息等&#xf…

图形学初识--深度测试

文章目录 前言正文为什么要有深度测试&#xff1f;画家算法循环遮挡 深度测试当代最常见实现方式&#xff1f;总述什么是z-buffer呢&#xff1f;z-buffer从哪来呢&#xff1f;如何利用z-buffer实现深度测试&#xff1f;举个例子 结尾&#xff1a;喜欢的小伙伴点点关注赞哦! 前言…

【计算机毕设】基于SpringBoot的图书进销存管理系统设计与实现 - 源码免费(私信领取)

免费领取源码 &#xff5c; 项目完整可运行 &#xff5c; v&#xff1a;chengn7890 诚招源码校园代理&#xff01; 1. 研究目的 本研究旨在设计并实现一款基于SpringBoot的图书进销存管理系统&#xff0c;旨在解决图书馆或书店在图书采购、销售和库存管理等方面的问题&#xff…

新手开视频号小店需要做什么准备?只需做好这几个准备,缺一不可

大家好&#xff0c;我是电商花花。 现在视频号小店发展的这么红火&#xff0c;很多人开始在视频号上开始开店&#xff0c;做电商。 目前视频号小店随着流量的市场的保证&#xff0c;不少商家也想通过视频号小店来进行变现。 但是&#xff0c;我们作为一个新手&#xff0c;想…

APP 备案步骤

一、打开阿里云备案系统平台&#xff1a;aliyunbaike.com/go/beian 二、开始备案 三、填写APP名称并进行信息校验 四、填写主办者基础信息和主办者负责人信息 五、填写互联网信息,android打包的APK包可直接上传识别信息&#xff0c;ios需要手动填写信息 公钥和证书SHA-1指纹如…

图片怎么批量转二维码?快速导出批量图片活码的方法

怎么将多张图片快速批量生成二维码&#xff1f;现在用二维码来展示图片在很多的场景下都有应用&#xff0c;这种方式可以有利于用户快速扫码获取内容&#xff0c;提升便利性的同时也可以降低制作者的成本&#xff0c;提供更好的用户体验。有些时候我们需要针对不同的场景或者物…

江苏大信环境科技有限公司:环保领域的开拓者与引领者

2009 年&#xff0c;江苏大信环境科技有限公司在宜兴环保科技工业园成立。自创立之始&#xff0c;该公司便笃定坚守“诚信为本、以质量求生存、以创新谋发展”这一经营理念&#xff0c;全力以赴为客户构建专业的工业有机废气治理整体解决方案&#xff0c;进而成为国家高新技术企…

Streamsets-JDBC模式offset变化逻辑和如何向下传递offset

Streamsets的版本为3.16.0 离线版 offset在jdbc模式中起到非常关键的作用&#xff0c;是滚动查询的基础&#xff0c;offset的准确直接影响数据同步的质量。 本文主要分享一下JDBC Query Consumer中的offset&#xff0c;包括变化逻辑、存储方式、处理器如何获取到最新的offset。…

coze扣子自定义插件使用方式1

1&#xff0c;模型中的工具描述 2&#xff0c;大模型调用&#xff0c;触发接口&#xff1a;

Outlook 邮箱使用技巧

良好时间管理的基本原则 Outlook 是帮你管理电子邮件、日历、联系人和任务的工具。 因此&#xff0c;不论是在沟通还是在时间管理中&#xff0c;它都占据着中心位置。 为充分利用 Outlook&#xff0c;我们提出了一些基本原则&#xff1a; 减少阅读邮件的位置。 如果你使用的是…

机器学习的热门领域及应用趋势

机器学习的热门领域及应用趋势 近年来&#xff0c;机器学习&#xff08;Machine Learning, ML&#xff09;已经成为科技领域的热门话题&#xff0c;其在各个行业的应用越来越广泛和深入。本文将详细介绍当前机器学习的几个热门领域&#xff0c;以及人们在这些领域中使用的机器…

文件同步软件,PanguFlow局域网横着走

说到文件同步&#xff0c;它对企业来说及其重要&#xff0c;因为有了文件同步&#xff0c;这个文件数据它才能备份起来&#xff0c;才能用来抵抗自然灾害&#xff0c;比如服务器硬盘损坏了&#xff0c;你要是不备份&#xff0c;这损失可就大了&#xff0c;一款合适的文件同步软…

第二讲笔记:隐私计算助力数据要素流通

1、数据要素流转与数据 2、数据外循环中的信任 焦虑 信任焦虑背后的代表性案例 内鬼门 &#xff1a; 2023 年 &#xff0c; 美国科技公司 Ubiquiti在2021年1月曝出数据泄露事 件&#xff0c; “攻击者”在随后的“谈判”中试 图向该企业勒索近200万美元&#xff08;50比特 币&…

javacv ffmpeg使用笔记 (补充中...)

javacv ffmpeg使用笔记 一、maven依赖二、示例代码1. 获取视频时长 三、小技巧 一、maven依赖 使用javacv ffmpeg并指定classifier之后&#xff0c;就不需要额外安装ffmpeg软件&#xff08;jar包中已经内置&#xff09;了。 全量依赖包&#xff08;不推荐&#xff09;安装包总大…

PCIe的链路状态

目录 概述 链路训练的目的 两个概念 下面介绍LTSSM状态机 概述 PCie链路的初始化过程较为复杂&#xff0c;Pcie总线进行链路训练时&#xff0c;将初始化Pcie设备的物理层&#xff0c;发送接收模块和相关的链路状态信息&#xff0c;当链路训练成功结束后&#xff0c;PCIe链…

数据库 mysql 的彻底卸载

MySQL卸载步骤如下&#xff1a; &#xff08;1&#xff09;按 winr 快捷键&#xff0c;在弹出的窗口输入 services.msc&#xff0c;打开服务列表。 &#xff08;2&#xff09;在服务列表中&#xff0c; 找到 mysql 开头的所有服务&#xff0c; 右键停止&#xff0c;终止对应的…

3D摄影棚布光软件:Set A Light 3D for Mac 永久试用版

Set A Light 3D 是一款专业的灯光设计软件&#xff0c;可以帮助用户轻松创建逼真的灯光效果和场景。它提供了丰富的灯光模型和材质库&#xff0c;用户可以根据需要自由调整灯光的颜色、亮度和方向&#xff0c;实时预览效果。同时还支持灯光的投射、反射和阴影等高级特效&#x…

【Redis】 Java操作客户端命令——列表操作与哈希操作

文章目录 &#x1f343;前言&#x1f334;列表操作&#x1f6a9;lpush 和 lpop&#x1f6a9;rpush 和 rpop&#x1f6a9;lrange&#x1f6a9;bloop&#x1f6a9;brpop&#x1f6a9;lindex&#x1f6a9;linsert&#x1f6a9;llen &#x1f38b;哈希操作&#x1f6a9;hset 和 hge…

java面试题及答案2024,java2024最新面试题及答案(之二)

四、反射 57. 什么是反射&#xff1f; 反射主要是指程序可以访问、检测和修改它本身状态或行为的一种能力 Java反射&#xff1a; 在Java运行时环境中&#xff0c;对于任意一个类&#xff0c;能否知道这个类有哪些属性和方法&#xff1f;对于任意一个对象&#xff0c;能否调…