51单片机入门_江协科技_19~20_OB记录的笔记

19. 串口通讯

  • 19.1. 串口介绍:
    •串口是一种应用十分广泛的通讯接口,串口成本低、容易使用、通信线路简单,可实现两个设备的互相通信。
    •单片机的串口可以使单片机与单片机、单片机与电脑、单片机与各式各样的模块互相通信,极大的扩展了单片机的应用范围,增强了单片机系统的硬件实力。
    •51单片机内部自带UART(Universal Asynchronous Receiver Transmitter,通用异步收发器),可实现单片机的串口通信。
    在这里插入图片描述

  • 19.2. 串口硬件电路
    •简单双向串口通信有两根通信线(发送端TXD和接收端RXD)
    •TXD与RXD要交叉连接,TXD Transmit Exchange Data;RXD Receive Exchange Data
    •当只需单向的数据传输时,可以直接一根通信线
    •当电平标准不一致时,需要加电平转换芯片
    在这里插入图片描述

  • 19.3. 电平标准
    •电平标准是数据1和数据0的表达方式,是传输线缆中人为规定的电压与数据的对应关系,串口常用的电平标准有如下三种:
    •TTL电平:+5V表示1,0V表示0
    •RS232电平:-3-15V表示1,+3+15V表示0
    •RS485电平:两线压差+2+6V表示1,-2-6V表示0(差分信号)

  • 19.4. 接口及引脚定义
    在这里插入图片描述

  • 19.5. 常见通讯接口比较:
    在这里插入图片描述

  • 相关的术语有:
    •全双工:通信双方可以在同一时刻互相传输数据
    •半双工:通信双方可以互相传输数据,但必须分时复用一根数据线
    •单工:通信只能有一方发送到另一方,不能反向传输
    •异步:通信双方各自约定通信速率
    •同步:通信双方靠一根时钟线来约定通信速率
    •总线:连接各个设备的数据传输线路(类似于一条马路,把路边各住户连接起来,使住户可以相互交流)

  • 19.6. 51单片机的UART
    •STC89C52有1个UART
    •STC89C52的UART有四种工作模式:
    模式0:同步移位寄存器
    模式1:8位UART,波特率可变(常用)
    模式2:9位UART,波特率固定
    模式3:9位UART,波特率可变
    在这里插入图片描述

  • 19.7. 串口参数及时序图
    •波特率:串口通信的速率(发送和接收各数据位的间隔时间)
    •检验位:用于数据验证,奇校验,偶数个1的时,校验位补1,奇数个1的时候,校验位补0;
    •停止位:用于数据帧间隔
    在这里插入图片描述

  • 19.8. 串口模式图
    在这里插入图片描述

    •SBUF:串口数据缓存寄存器,物理上是两个独立的寄存器,但占用相同的地址。写操作时,写入的是发送寄存器,读操作时,读出的是接收寄存器

  • 19.9. 串口和中断系统
    在这里插入图片描述

  • 19.10. 串口相关寄存器
    在这里插入图片描述

  • 19.11. 数据显示模式
    •HEX模式/十六进制模式/二进制模式:以原始数据的形式显示
    •文本模式/字符模式:以原始数据编码后的形式显示

20. 串口向电脑发送数据&电脑通过串口控制LED

  • 20.1. STC89C52手册中的串口介绍如下:

  • STC89C52系列单片机内部集成一个功能很全双工串行通信口,与传统8051单片机的串口完全兼容。设2个互相独立的接收、发送缓冲器,可以同时发送和接收数据。发送缓冲器只能写而不能读出,接收缓冲器只能读出而不能写入,因而两个缓冲器可以共用一个地址码(99H)。两个缓冲器统称串行通信特殊功能寄存器SBUF。

  • 串行通信设有4种工作方式,其中两种方式的波特率是可变的,另两种是固定的,以供不同应用场合选用。波特率由内部定时器/计数器产生,用软件设置不同的波特率和选择不同的工作方式。主机可通过查询或中断方式对接收/发送进行程序处理,使用十分灵活。

  • STC89C52系列单片机串行口对应的硬件部分对应的管脚是P3.0/RxD和P3.1/TxD。

  • STC89C52系列单片机的串行通信口,除用于数据通信外,还可方便地构成一个或多个并
    行I/O口,或作串—并转换,或用于扩展串行外设等。
    在这里插入图片描述

  • 20.2. 串口通讯程序初始化(新建工程8-1 串口向电脑发送数据),需要增加之前的模块程序“delay_xms.h”
    在这里插入图片描述

  • 20.3. 串口相关寄存器的配置:
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

  • SM0=0, SM1=1, 适配当前学习环境

  • SM2=0;

  • REN=1单片机接受数据,测试程序REN=0先给0用于测试;

  • TB8=0, RB8=0;

  • TI=0必须用软件复位;标志位,发送后必须软件复位置0;

  • RI=0;

  • 综上SCON=0100 0000B=0x40;

在这里插入图片描述

  • SBUF初始化无需配置;

  • PCON初始化配置借助STC-ISP进行波特率的配置;

  • 除此以外还需要配置定时器,这里配置的是定时器1,因为定时器配置中涉及波特率计算,作者借助STC-ISP的工具直接进行配置,配置中的各项参数设置如图:
    在这里插入图片描述

  • 初始化函数如下

void Uart_Init(void)	//4800bps@12.000MHz
{PCON |= 0x80;	//使能波特率倍速位SMOD,加倍波特率减少误差SCON = 0x50;	//8位数据,可变波特率//AUXR &= 0xBF;	//定时器时钟12T模式//AUXR &= 0xFE;	//串口1选择定时器1为波特率发生器TMOD &= 0x0F;	//设置定时器模式TMOD |= 0x20;	//设置定时器模式TL1 = 0xF3;		//设置定时初始值TH1 = 0xF3;		//设置定时重载值ET1 = 0;		//禁止定时器1中断TR1 = 1;		//启动定时器1
}
  • 20.4. 测试发送数据0x66;
#include <REGX52.h>
#include "delay_xms.h"void Uart_Init(void)	//4800bps@12.000MHz
{PCON |= 0x80;	//使能波特率倍速位SMOD,加倍波特率减少误差SCON = 0x50;	//8位数据,可变波特率//AUXR &= 0xBF;	//定时器时钟12T模式//AUXR &= 0xFE;	//串口1选择定时器1为波特率发生器TMOD &= 0x0F;	//设置定时器模式TMOD |= 0x20;	//设置定时器模式TL1 = 0xF3;		//设置定时初始值TH1 = 0xF3;		//设置定时重载值ET1 = 0;		//禁止定时器1中断TR1 = 1;		//启动定时器1
}void Uart_SendByte(unsigned char Byte)
{SBUF=Byte;while(TI==0);//判断是否发送TI=0;		//发送后置0
}void main()
{Uart_Init();Uart_SendByte(0x66);while(1){}}
  • Proteus中测试验证无误;
    在这里插入图片描述

  • STC-ISP中显示结果无误
    在这里插入图片描述

  • 20.5. 一个问题,如果程序稍微修改一下,重复快速发送数据0x66,有概率实际开发板接收数据为96(作者视频中演示),需要在发送语句后面加入1ms延时,以减少出错概率,main函数修改为如下:

void main()
{Uart_Init();while(1){Uart_SendByte(0x66);//重复发送数据66delay_xms(1);//1ms的延时用于防止发送数据错误}}
  • 20.6. 测试每隔1s发送一个数字,数字累加,程序如下:
#include <REGX52.h>
#include "delay_xms.h"
unsigned char sec;
void Uart_Init(void)	//4800bps@12.000MHz
{PCON |= 0x80;	//使能波特率倍速位SMOD,加倍波特率减少误差SCON = 0x50;	//8位数据,可变波特率//AUXR &= 0xBF;	//定时器时钟12T模式//AUXR &= 0xFE;	//串口1选择定时器1为波特率发生器TMOD &= 0x0F;	//设置定时器模式TMOD |= 0x20;	//设置定时器模式TL1 = 0xF3;		//设置定时初始值TH1 = 0xF3;		//设置定时重载值ET1 = 0;		//禁止定时器1中断TR1 = 1;		//启动定时器1
}void Uart_SendByte(unsigned char Byte)
{SBUF=Byte;while(TI==0);//判断是否发送TI=0;		//发送后置0
}void main()
{Uart_Init();while(1){Uart_SendByte(sec);sec++;delay_xms(1000);}}

模拟仿真结果如下:
在这里插入图片描述

  • 20.7. 示例程序STC-ISP中借用串口助手发送数据,开发板接受到相关的数据后在P2的8个LED灯中显示LED灯的相应状态:
#include <REGX52.h>
#include "delay_xms.h"
#include "Uart.h"void main()
{Uart_Init();while(1){}}void Uart_Routine() interrupt 4 //函数名任意,主要是interrupt 4定义中断
{if(RI==1) //判断接收数据中断P2=~SBUF; //接收到的数据取反后赋值P2口RI=0; //RI置0,等待下次接收数据判断}
  • 模块化程序的Uart.c程序如下:
#include <REGX52.h>
/*** @brief 串口初始化4800bps@12.000MHz* @param 无* @retval 无*/void Uart_Init(void)	//4800bps@12.000MHz
{PCON |= 0x80;	//使能波特率倍速位SMOD,加倍波特率减少误差SCON = 0x50;	//8位数据,可变波特率,可接收数据//AUXR &= 0xBF;	//定时器时钟12T模式//AUXR &= 0xFE;	//串口1选择定时器1为波特率发生器TMOD &= 0x0F;	//设置定时器模式TMOD |= 0x20;	//设置定时器模式TL1 = 0xF3;		//设置定时初始值TH1 = 0xF3;		//设置定时重载值ET1 = 0;		//禁止定时器1中断TR1 = 1;		//启动定时器1EA=1;			//启动所有中断ES=1;			//启动串口中断
}/*** @brief 串口发送一个字节数据* @param Byte 要发送的一个字节数据* @retval 无*/void Uart_SendByte(unsigned char Byte)
{SBUF=Byte;while(TI==0);//判断是否发送TI=0;		//发送后置0
}
  • 模块化程序的Uart.h如下:
#ifndef _UART_H_ 
#define _UART_H_  void Uart_Init();
void Uart_SendByte(unsigned char Byte);#endif
  • STC-ISP中发送数字11(0001 0001 B)有2个灯亮起,测试没有问题;;

在这里插入图片描述
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/793492.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

设计模式总结-建造者模式

建造者模式 模式动机模式定义模式结构模式分析建造者模式实例与解析实例&#xff1a;KFC套餐 模式动机 无论是在现实世界中还是在软件系统中&#xff0c;都存在一些复杂的对象&#xff0c;它们拥有多个组成部分&#xff0c;如汽车&#xff0c;它包括车轮、方向盘、发送机等各种…

使用labelImg标注yolov5数据集并在kaggle中使用yolov5

使用labelImg标注yolov5数据集并在kaggle中使用yolov5 文章目录 前言一、labelImg标注1.1. 下载exe文件1.2. labelImg 下载&#xff08;源码&#xff09;1.3. 环境配置1.4. 使用1.4.1. 设置1.4.2. 导入图片并标注 二、在kaggle中使用2.1. 下载源码2.2. 编辑配置文件2.3. 将压缩…

[蓝桥杯 2017 国 C] 合根植物

[蓝桥杯 2017 国 C] 合根植物 题目描述 w 星球的一个种植园&#xff0c;被分成 m n m \times n mn 个小格子&#xff08;东西方向 m m m 行&#xff0c;南北方向 n n n 列&#xff09;。每个格子里种了一株合根植物。 这种植物有个特点&#xff0c;它的根可能会沿着南北…

StarRocks使用Minio备份和还原

1.安装minio Centos7安装minio-CSDN博客 minio api端口&#xff1a;9090 下文用到这个端口 必须提前创建好桶: packfdv5 名称自定义和后面对上就可以 2.创建备份仓库 格式&#xff1a; CREATE REPOSITORY <repository_name> WITH BROKER ON LOCATION "s3a:/…

支付类漏洞挖掘技巧总结

前言&#xff1a; 支付类逻辑漏洞在漏洞挖掘中是常常出现的问题之一。此类漏洞挖掘思路多&#xff0c;奖励高&#xff0c;是炙手可热的漏洞。此篇文章主要围绕挖掘支付逻辑漏洞时的一些思路分享。 支付逻辑漏洞成因&#xff1a; 支付漏洞可能由以下原因造成&#xff1a; 前…

mybatis流式游标查询-导出DB大数据量查询OOM问题

问题场景 Mysql数据处理类型分以下三种 com.mysql.cj.protocol.a.result.ResultsetRowsStatic&#xff1a;普通查询&#xff0c;将结果集一次性全部拉取到内存 com.mysql.cj.protocol.a.result.ResultsetRowsCursor&#xff1a;游标查询&#xff0c;将结果集分批拉取到内存&…

【Windows11】cmd下运行python弹出windows应用商店解决方案

【Windows11 】cmd下运行python弹出windows应用商店解决方案 大家好 我是寸铁&#x1f44a; 总结了一篇【Windows11 】cmd下运行python弹出windows应用商店解决方案✨ 喜欢的小伙伴可以点点关注 &#x1f49d; 前言 今天在安装python时&#xff0c;在命令行窗口输入如下命令&a…

【面试经典150 | 动态规划】不同路径 II

文章目录 写在前面Tag题目1方法一&#xff1a;动态规划方法二&#xff1a;空间优化 题目2方法一&#xff1a;动态规划空间优化 写在最后 写在前面 本专栏专注于分析与讲解【面试经典150】算法&#xff0c;两到三天更新一篇文章&#xff0c;欢迎催更…… 专栏内容以分析题目为主…

启动mysql

删除C:\Program Files (x86)\MySQL\MySQL Server 5.7这个路径下的data文件夹&#xff0c;这个很难删除&#xff0c;因为一开机&#xff0c;mysql的某些服务就启动了&#xff0c;每次重新启动mysql之前&#xff0c;都要删除这个文件夹 因为这个文件夹在后端执行一些我们看不到的…

MySQL-逻辑架构:逻辑架构分析、SQL执行流程、数据库缓冲池

逻辑架构 1. 逻辑架构剖析 1.1 第1层&#xff1a;连接层 系统&#xff08;客户端&#xff09;访问MySQL服务器前&#xff0c;做的第一件事就是建立TCP连接。 经过三次握手建立连接成功后&#xff0c;MySQL服务器对TCP传输过来的账号密码做身份认证、权限获取。 用户名或密码…

C语言------冒泡法排序

一.前情提要 1.介绍 冒泡法排序法&#xff1a; 1)冒泡排序&#xff08;Bubble Sort&#xff09;是一种简单的排序算法&#xff0c;它重复地遍历要排序的列表&#xff0c;一次比较相邻的两个元素&#xff0c;并且如果它们的顺序错误就将它们交换过来。重复这个过程直到没有需…

DeepWalk论文翻译

DeepWalk论文翻译 DeepWalk: Online Learning of Social Representations DeepWalk&#xff1a;社会表征的在线学习 ABSTRACT 我们提出了 DeepWalk&#xff0c;一种学习网络中顶点潜在表示的新方法。这些潜在表示在连续向量空间中对社会关系进行编码&#xff0c;很容易被统…

VRRP虚拟路由器冗余协议

vrrp是为了解决单点故障问题 将几台路由器联合成一台虚拟的路由器&#xff0c;保证通信的可靠性 协议小说&#xff1a; 协议不是在固定的哪一个层&#xff0c;是基于哪一层工作&#xff0c;比如说ospf是基于三层工作的 VRRP是基于三层工作的&#xff0c;就在前面会封装一个ip…

JAVAEE——多线程进阶,锁策略

文章目录 锁策略乐观锁和悲观锁乐观锁悲观锁两者的比较 读写锁重量级锁和轻量级锁重量级锁轻量级锁 自旋锁公平锁和非公平锁公平锁非公平锁 可重入锁和不可重入锁可重入锁不可重入锁 锁策略 乐观锁和悲观锁 乐观锁 什么是乐观锁呢&#xff1f;我们可以认为乐观锁比较自信&am…

网络原理 - HTTP / HTTPS(4)——构造http请求

目录 一、postman 的下载安装以及简单介绍 1、下载安装 2、postman的介绍 二、通过 Java socket 构造 HTTP 请求 构造http请求的方式有两种&#xff1a;&#xff08;1&#xff09;通过代码构造&#xff08;有一点难度&#xff09; &#xff08;2&#xff09;通过第三…

Anaconda/Python快速安装jieba 【win/mac】

一、直接上命令 pip install -i https://pypi.tuna.tsinghua.edu.cn/simple jieba 我是在PyCharm里面的终端输进去。 之后就很快速的看到成功的下图。 二、官网 官网下载的速度太慢了——这是官网地址https://pypi.org/project/jieba/#files 点进去之后点击下载&#xff0c…

【卷积神经网络进展】

打基础日常记录 CNN基础知识1. 感知机2. DNN 深度神经网络&#xff08;全连接神经网络&#xff09;DNN 与感知机的区别DNN特点&#xff0c;全连接神经网络DNN前向传播和反向传播 3. CNN结构【提取特征分类】4. CNN应用于文本 CNN基础知识 1. 感知机 单层感知机就是一个二分类…

利用AI结合无极低码(免费版)快速实现接口开发教程,会sql即可,不需要编写编译代码

无极低码无代码写服务+AI实践 本次演示最简单的单表无代码增删改查发布服务功能,更复杂的多表操作,安全验证,多接口调用,自自动生成接口服务,生成二开代码,生成调用接口测试,一键生成管理界面多条件检索、修改、删除、查看、通用公共接口调用、通用无限级字典调用等后续…

Java的Cookie和Session配合解决会话管理问题

目录 会话管理概述 为什么需要会话管理 会话管理实现的手段 Cookie Cookie概述 Cookie的使用 Cookie的时效性 Cookie的提交路径 Session HttpSession概述 HttpSession的使用 HttpSession时效性 cookie和session结合使用 会话管理概述 为什么需要会话管理 在Java…

ssm基于jsp的学生作业管理系统论文

摘 要 现代经济快节奏发展以及不断完善升级的信息化技术&#xff0c;让传统数据信息的管理升级为软件存储&#xff0c;归纳&#xff0c;集中处理数据信息的管理方式。本学生作业管理系统就是在这样的大环境下诞生&#xff0c;其可以帮助管理者在短时间内处理完毕庞大的数据信息…