FPGA基本算术运算

FPGA基本算术运算

  • FPGA基本算术运算
    • 1 有符号数与无符号数
    • 2 浮点数及定点数
      • I、定点数的加减法
      • II、定点数的乘除法
    • 3 仿真验证
      • i、加减法验证
      • ii、乘除法验证

FPGA基本算术运算

  FPGA相对于MCU有并行计算、算法效率较高等优势,但同样由于没有成型的FPU等MCU内含的浮点数运算模块,导致一些基本的符号数、浮点数运算需要我们自己进行管理。因此需要我们对基本的运算法则进行了解。基本类别如下,即:

在这里插入图片描述

1 有符号数与无符号数

   无符号数即为没有符号的数,简单点就是全部为正数运算的数没有负数。有符号数字便是有正与负数的数。那么在同样位数的条件下,表示的有符号数范围更加少,如下。

reg [7:0] unsigned_a; //定义一个无符号8位数 //既然无符号,则可表示 0 - 0xFF,即 0 -255;//256 - 0x100 即超出了8位

  以上是无符号数的概念,而有符号数则为了表示符号,将补码的概念引入了基本数体系,建立了符号数体系

符号体系中,补码即将数分为符号位和基本数位;最高位表示符号位,1为负,0为正数,其他基本数位则表示正常数码。

reg signed [7:0] sign_b; //8位有符号数 bit7:符号位; bit6-bit0: 数位//此时数位,最大只能表示0-127,较8位无符号数可表示范围减少一半

  同时,为了方便运算减法,建立了补码运算体系,即a-b可转换为 a + b[补码]。

​ 因此,定义,正数的原码、反码、补码均相同,负数原码、反码、补码经过以下运算得到:

//正数:8’d82 - 8'b0101_0010
//原码:8'b0101_0010
//反码:8'b0101_0010
//补码:8'b0101_0010//负数: -8’d82 
//原码:8'b0101_0010
//反码:8'b1010_1101 (原码取反)
//补码:8'b1010_1110 (反码 + 1)//8位有符号数:
//正数:  8’d127 补码: 8'hff
//....
//正数:  8’d2  补码: 8'h02
//正数:  8’d1  补码: 8'h01
//      8'd0   补码: 8'd0
//负数: -8’d1  补码: 8'hff
//负数: -8’d2  补码: 8'hfe
// ...
//负数: -8’d127  补码: 8'h81

  根据以上规则,发现0是个特殊的数字,+0的补码即是8‘h0,而-0的补码是8’h80,而0 仅仅就是0。于是一般规定,8’h80为-128。因此8位有符号数范围为[-128,127],相对于无符号数[0,255],数值大小降低了一半。

2 浮点数及定点数

  浮点数主要是遵守 IEEE754 浮点数标准这里不再缀述。而定点数,即是固定小数点的一种方式,如

//十进制小数: 32.15 - 二进制:100000.00100110011001100110011001100110011001100110011
//保留10位二进制小数后 - 100000.0010011001 (32.1494140625) - 有截断误差
//定义定点数为Q10     - 即将原来的数乘以2^10 - 1000000010011001(32921)
//Qn  表示小数点在第几位前面

I、定点数的加减法

  不同定点数的加减要转换为同一定点格式才能相加减,即

//32.15 - 32921(Q10)
//15.62 - 7997(Q9)
//32.15 + 15.62 = 47.77
// 32921 + 7997* 2^(10-9) = 48915(Q10)
// 48915/ 2^10 = 47.7685546875 [有截断误差]

  Qm的定点数 +(-) Qn位的定点数{m>n},需要将n位数定点扩展到同一位置,然后进行运算,结果为Qm。

  因此m位的定点数 +(-) n位的定点数,首先需要将结果变量扩展到m+1位(防止溢出)

II、定点数的乘除法

//32.15 - 32921(Q10)
//15.62 - 7997(Q9)
//32.15 * 15.62 = 502.183
// 32921 * 7997 = 263269237(Q19)
// 263269237/ 2^19 = 502.1462192535400390625 [有截断误差]

 Qm的定点数 *(/) Qn位的定点数{m>n},结果为Q(m+n)。

  因此m位的定点数 *(/) n位的定点数,首先需要将结果变量扩展到m+n位(防止溢出)

3 仿真验证

i、加减法验证

对如下module进行激励测试:

module add(input [7:0] a,input [7:0] b,output [7:0] c);wire [8:0] add_out;
wire [8:0] sub_out;wire [7:0] add_out_2;
wire [7:0] sub_out_2;assign add_out = a+b;
assign sub_out = a-b;assign add_out_2 = a+b;
assign sub_out_2 = a-b;endmodule

第一阶段,a->8’d12; a->8’d35。

在这里插入图片描述

可以看到8位及9位的结果输出均正常,这时12-35=-23的结果自动变为补码。

第二阶段,a->-8’d12; a->8’d35。

在这里插入图片描述

第二阶段这里就发现了9位的输出结果明显不对,这里0xf4(-12) + 0x23 = 0x117;结果溢出了。正常情况,有符号数符号位不会参与运算,这里参与了运算导致了错误[主要是结果溢出导致]

有两种办法进行修改:

1)将input 改为:

​ input signed [7:0] a,
​ input signed [7:0] b,

2)将加法运算进行扩展后计算

​ assign add_out = {a[7],a} + {b[7],b}; //扩展符号位
​ assign sub_out = {a[7],a} - {b[7],b};

结论:对于是否定义有无符号数,即使是无符号数,运算产生负数后,也会使用补码进行表示。但是如果计算结果存在溢出,则会产生错误,所以即使不使用signed类型也可,但需要注意位宽。

ii、乘除法验证

module chengc(input [7:0] c1,input [7:0] c2,output [7:0] d2);wire [15:0] mux_out;
wire [15:0] divid_out;wire [7:0] mux_out_2;
wire [7:0] divid_out_2;assign mux_out	 = c1 * c2;
assign divid_out = c1 / c2;assign mux_out_2   = c1 * c2;
assign divid_out_2 = c1 / c2;endmodule

与加减法相似,在溢出时,仍旧出现了符号位参与运算导致的错误。

在这里插入图片描述

这个时候进行如下修改:

module chengc(input signed [7:0] c1,input signed [7:0] c2,output [7:0] d2);wire signed [15:0] mux_out;
wire signed [15:0] divid_out;wire [15:0] mux_out_2;
wire [15:0] divid_out_2;assign mux_out	 = ({ {8{c1[7]}},c1}) * ( { {8{c2[7]}}, c2});
assign divid_out = ({ {8{c1[7]}},c1}) / ( { {8{c2[7]}}, c2});assign mux_out_2   = c1 * c2;
assign divid_out_2 = c1 / c2;endmodule

此时输出结果如下:

在这里插入图片描述

在除法运算中,符号位仍旧参与了运算,导致结果失常。因此这里并不推荐直接使用 * / ,FPGA最好使用片上自带的DSP模块进行运算,也可使用移位及加减进行近似运算。如:

//a * 0.625 = a * (0.10011101011100001010001111010111000010100011110101111)b//a * 1/2 + a*1/8 + a*1/16 + a*1/32 + ... +.. 进行近似运算

定点数乘除法,因已将定点数转为了整数,因此也可参考该过程。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/74465.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

合宙Air724UG LuatOS-Air LVGL API控件-图片(Gif)

图片(Gif) GIF图片显示,core版本号要>3211 示例代码 方法一 -- 创建GIF图片控件 glvgl.gif_create(lvgl.scr_act()) -- 设置显示的GIF图像 lvgl.gif_set_src(g,"/lua/test.gif") -- gif图片居中 lvgl.obj_align(g, nil, lvgl…

GaussDB技术解读系列:高级压缩之OLTP表压缩

8月16日,第14届中国数据库技术大会(DTCC2023)在北京国际会议中心顺利举行。在GaussDB“五高两易”核心技术,给世界一个更优选择的专场,华为云数据库GaussDB首席架构师冯柯对华为云GaussDB数据库的高级压缩技术进行了详…

Vue3-devtools开发者工具安装方法

因为最近在学习Vue3,但是之前找到的Vue3-Devtools失效了,那就来下载安装下 下载安装 Github下载地址:Vue3-Devtools 这个链接快点:Vue3-Devtools 点击链接后页面如下 点击main选项,下拉列表往下拉,找到你想要的版…

JAVA设计模式第七讲:设计模式在 Spring 源码中的应用

设计模式(design pattern)是对软件设计中普遍存在的各种问题,所提出的解决方案。本文以面试题作为切入点,介绍了设计模式的常见问题。我们需要掌握各种设计模式的原理、实现、设计意图和应用场景,搞清楚能解决什么问题…

渗透测试漏洞原理之---【业务安全】

文章目录 1、业务安全概述1.1业务安全现状1.1.1、业务逻辑漏洞1.1.2、黑客攻击目标 2、业务安全测试2.1、业务安全测试流程2.1.1、测试准备2.1.2、业务调研2.1.3、业务建模2.1.4、业务流程梳理2.1.5、业务风险点识别2.1.6 开展测试2.1.7 撰写报告 3、业务安全经典场景3.1、业务…

Excel VSTO开发7 -可视化界面开发

版权声明:本文为博主原创文章,转载请在显著位置标明本文出处以及作者网名,未经作者允许不得用于商业目的。 7 可视化界面开发 前面的代码都是基于插件启动或者退出时,以及Excel Application的相关事件,在用户实际操作…

亚马逊云科技人工智能内容审核服务:大大降低生成不安全内容的风险

生成式人工智能技术发展日新月异,现在已经能够根据文本输入生成文本和图像。Stable Diffusion是一种文本转图像模型,可以创建栩栩如生的图像应用。通过Amazon SageMaker JumpStart,使用Stable Diffusion模型轻松地从文本生成图像。 尽管生成式…

并发-Executor框架笔记

Executor框架 jdk5开始,把工作单元与执行机制分离开来,工作单元包括Runable和Callable,执行机制由Executor框架来提供。 Executor框架简介 Executor框架的两级调度模型 Java线程被一对一映射为本地操作系统线程 java线程启动会创建一个本…

Unity3D URP 仿蜘蛛侠风格化BloomAO

Unity3D URP 仿蜘蛛侠风格化Bloom&AO BloomBloom效果流程:制作控制面板VolumeComponent.CSCustom Renderer FeatherCustom Renderer PassBloom ShaderComposite Shader 完善Custom Feather风格化AO 总结 本篇文章介绍在URP中如何进行风格化后处理,使…

高阶数据结构-----三种平衡树的实现以及原理(未完成)

TreeMap和TreeSet的底层实现原理就是红黑树 一)AVL树: 1)必须是一棵搜索树:前提是二叉树,任取一个节点,它的左孩子的Key小于父亲节点的Key小于右孩子节点的Key,中序遍历是有序的,按照Key的大小进行排列,高度平衡的二叉…

2024字节跳动校招面试真题汇总及其解答(一)

1. 【算法题】重排链表 给定一个单链表 L 的头节点 head ,单链表 L 表示为: L0 → L1 → … → Ln - 1 → Ln请将其重新排列后变为: L0 → Ln → L1 → Ln - 1 → L2 → Ln - 2 → … 不能只是单纯的改变节点内部的值,而是需要实际的进行节点交换。 示例 1: 输入:hea…

SSH是如何配置的

目录 什么是SSH SSH可以做什么其他用途? ssh有几种连接方法吗 我应该用哪种方法连接SSH1或SSH2? 每天都在用SSH你知道SSH的原理吗 开启ssh后telnet会关闭吗 SSH的优缺点 SSH和Telnet之间优缺点的对比 SSH的配置实验 ensp Cisco H3C 1、什么是…

QT—基于http协议的网络文件下载

1.常用到的类 QNetworkAccessManager类用于协调网络操作,负责发送网络请求,创建网络响应 QNetworkReply类表示网络请求的响应。在QNetworkAccessManager发送一个网络请求后创建一个网络响应。它提供了以下信号: finished():完成…

【八大经典排序算法】:直接插入排序、希尔排序实现 ---> 性能大比拼!!!

【八大经典排序算法】:直接插入排序、希尔排序实现 ---> 性能大比拼!!! 一、 直接插入排序1.1 插入排序原理1.2 代码实现1.3 直接插入排序特点总结 二、希尔排序 ( 缩小增量排序 )2.1 希尔排序原理2.2 代码实现2.3 希尔排序特点…

数据可视化、BI和数字孪生软件:用途和特点对比

在现代企业和科技领域,数据起着至关重要的作用。为了更好地管理和理解数据,不同类型的软件工具应运而生,其中包括数据可视化软件、BI(Business Intelligence)软件和数字孪生软件。虽然它们都涉及数据,但在功…

文献阅读:Chain-of-Thought Prompting Elicits Reasoning in Large Language Models

文献阅读:Chain-of-Thought Prompting Elicits Reasoning in Large Language Models 1. 文章简介2. 具体方法3. 实验结果 1. 数学推理 1. 实验设计2. 实验结果3. 消解实验4. 鲁棒性考察 2. 常识推理 1. 实验设计2. 实验结果 3. 符号推理 1. 实验设计2. 实验结果 4.…

华为数通方向HCIP-DataCom H12-821题库(单选题:241-260)

第241题 ​​LS Request​​报文不包括以下哪一字段? A、通告路由器(Advertising Router) B、链路状态 ID (Link Srate ID) C、数据库描述序列号(Database Dascription Sequence lumber) D、链路状态类型 Link state type) 答案:C 解析: LS Request 报文中包括以下字段…

协议定制 + Json序列化反序列化

文章目录 协议定制 Json序列化反序列化1. 再谈 "协议"1.1 结构化数据1.2 序列化和反序列化 2. 网络版计算器2.1 服务端2.2 协议定制(1) 网络发送和读取的正确理解(2) 协议定制的问题 2.3 客户端2.4 代码 3. Json实现序列化反序列化3.1 简单介绍3.2 使用 协议定制 J…

【送书活动】揭秘分布式文件系统大规模元数据管理机制——以Alluxio文件系统为例

前言 「作者主页」:雪碧有白泡泡 「个人网站」:雪碧的个人网站 「推荐专栏」: ★java一站式服务 ★ ★ React从入门到精通★ ★前端炫酷代码分享 ★ ★ 从0到英雄,vue成神之路★ ★ uniapp-从构建到提升★ ★ 从0到英雄&#xff…

python调用GPT实现:智能用例生成工具

工具作用: 根据输入的功能点,生成通用测试点 实现步骤 工具实现主要分2个步骤: 1.https请求调用Gpt,将返回响应结果保存为.md文件 2.用python实现 将 .md文件转换成.xmind文件 3.写个简单的前端页面,调用上述步骤接口 详细代…