vivado DDS学习

     实现DDS通常有两种方式,一种是读取ROM存放的正弦/余弦信号的查表法,另一种是用DDS IP核。这篇学习笔记中,我们要讲解说明的是VIVADO DDS IP核的应用。 目前本篇默认Phase Generator and SIN/COS LUT(DDS)的standard模式,至于其他模式,目前没有研究使用过,没有话语权,以后研究明白再来续写。

一、DDS IP配置

        下面的配置说明都是本人参考技术手册加上自己的理解,可能会有误解的地方,追求原汁原味的知识点的话还是去看技术手册。

Configuration Tab

Configuration Options:

        1.Phase Generator and SIN/COS LUT

        2.Phase Generator only

        3.SIN/COS LUT only

               从配置选项的名字就能够清晰的看出每种选项代表的功能,但是有必要注意的是 Phase Generator and SIN/COS LUT才是DDS。

                Phase Generator:如上图所示,Phase Generator由一个accumulator和一个可选的adder组成,以提供相位偏移的附加。在制定IP核时,相位增量(PINC)和相位偏移(POFF)可以被配置为fixed、programmable(用config 通道)或者streaming(用phase通道)三种模式。          

                SIN/COS LUT:当仅配置为SIN/COS LUT,不生成Phase Generator时PHASE_IN信号通过phase通道输入,并使用look-up table(LUT)转化为sine和cosine信号。IP核可以配置为仅sine输出,仅cosine输出或两者(正交)都输出,每个输出都可以独立配置为反向输出。可使用可选的Taylor series correction(泰勒级数校正)增加精度。

                Phase Generator and SIN/COS LUT:Phase Generator与SIN/COS LUT一起使用可以组合成为phase truncated DDS(相位截位DDS)或Taylor series correction DDS(泰勒级数校正DDS),也可以在两个块之间添加一个可选的dither generator组合成phase dithered DDS(相位抖动DDS)。

System Clock:DDS核心的时钟频率。其实就是输出信号的采样率

Number of Channels:最多支持16个通道,这些通道时间被复用,这降低了每个信道的有效时钟频率。开启多个通道相当于降低采样率

Mode of Operation:有standard和rasterized两种模式选择。(目前默认讲解standard

Frequency per Channel (Fs):由于分时复用,每个信道的有效时钟是System clock除以Number of Channels

Parameter Selection:DDS关键参数可以使用System parameters来指定,这些参数针对系统架构师(频域参数),也可以使用Hardware parameters,主要针对硬件工程师(时域参数)。这个条件下
Phase Generator和SIN/COS LUT仅根据Hardware parameters指定。

        System parameters:如上图System parameters界面,

                1.Spurious Free Dynamic Range(SFDR):DDS产生信号的质量,用于设置输出宽度以及内部总线宽度和各种实现决策。

                2.Frequency Resolution设置最小频率分辨率,并用于确定相phase accumulator及其相关的相位增量(PINC)和相位偏移(POFF)值所使用的相位宽度(Phase Width)。值越小,分辨率越高,需要更大的累加器。通过选择Noise Shaping可以使Phase Width增加,频率分辨率比指定的更高,

                3.Noise Shaping控制是否使用相位截位(phase truncation)、抖动(dithering)或Taylor series correction(泰勒级数校正)。None:Phase truncation DDS。Dithering:相位抖动用于改善SFDR,但代价是增加本底噪声。Taylor Series Corrected:相位截位中丢弃的bit对Sine/cosine进行插值。Auto:根据SFDR等System parameters自动确定Noise Shaping。

        Hardware parameters:如上图Hardware parameters界面。

                1.Phase Width:设置m_axis_phase_tdata中PHASE_OUT字段的宽度。

                2.Output Width:只有在选择DDS或SIN/COS LUT部件时才启用,因为Phase

                 Generator不需要它,用来设置在m_axis_data_tdata中设置SINE和COSINE字段的宽

                度。它提供的SFDR取决于Noise Shaping 的选项,计算方式如图:

Implementation Tab

Phase Increment Programmability:选择设置PINC值的方法。
Phase Offset Programmability:选择设置POFF值的方法。
对于这些选项在上面介绍 Phase Generator时提到过,现在再来细说一下。
        Programmable:使用CONFIG通道更改PINC和POFF,对于 configuration inputs(s_axis_config_t*),输入和输出的延时是不固定的。建议当DDS的频率和相位在不同的操作模式之间切换时使用。
顺势,我们讲讲 CONFIG Channel。
        要对CONFIG通道进行编程,必须进行N次传输,其中N是通道的数量。 按顺序从通道0开始的每个通道在每次传输时都包含 PINC或(和)POFF值。在最后一次传输时,对于通道(N-1)必须断言TLAST,如果不这样做,将导致event_s_config_tlast_missing或event_s_config_tlast_unexpected输出断言一个时钟周期。数据包只有在完成时才被视为收到。只有在完全接收到它时,它才有资格用于挂起同步事件( 同步事件在这里不说了,没有仔细研究过)。如图3-18所示,在第一个编程周期中,不正确地应用了TLAST,因此触发了事件输出。
第二个编程周期显示了TLAST的正确应用。
当核心配置为单通道操作时,不需要TLAST,并且引脚不存在于CONFIG通道上。
        再讲CONFIG Channel TDATA Structure。
        当CONFIG通道为每个通道提供PINC和POFF值时,每个字段被符号扩展,以适应字节边界,也就是以8bit为单位。例如,对于11位的phase width,PINC将占用10:0位,而POFF将占用26:16位。因此s_axis_config_tdata的总体值为31:0。以下配置的示例宽度结构如图3-19所示:
Streaming:使用PHASE通道更改PINC和POFF,对于 streaming inputs( s_axis_phase_t* ),输入和相关的输出有最小的延迟。建议当DDS的频率和相位需要经常改变时使用。
顺势,我们讲讲 Input PHASE Channel。
输入Phase通道用于DDS编译器执行一个动态功能,如相位或频率调制,每个输入样本都会有一个输出样本的应用程序。事实上,在输入和输出之间存在一对一的关系意味着输出Phase通道上的 TREADY无效会导致输入Phase通道上的TREADY也无效( 两者延时根据内部buffer的容量决定)。同样,Phase通道上输入数据的TVALID无效会导致输出通道上的TVALID无效。
        再讲Input PHASE Channel TDATA Structure。
        当Phase_Width = 11时,s_axis_phase_tdata的结构如图3-20所示:

Resync:选中后,s_axis_phase通道有一个RESYNC字段。当断言这个位元时,重置通道上积累的相位。在这个周期的累积相位的值是伴随RESYNC断言的PINC值加上POFF值。如图所示。
Output_Selection:DDS可以在m_axis_data_tdata总线中有一个SINE和COSINE字段,或者只有这两个字段中的一个。图3-22显示了三种配置的TDATA内部结构;quadratureoutputs, cosine only and sine only。例如,图中显示了一个11位的输出,符号扩展到16位,<<<表示符号扩展。

Detailed Implementation Tab

ARESETn:当选中时,IP核有一个 aresetn (active-Low同步复位)端口。aresetn必须拉低 至少两个周期来复位IP核。
至此,重要的配置就讲到这,后面如有技术深耕,再回来补充。接下来讲述一个实际使用的例子。

举例:

DDS IP核配置如下:
按照以上配置,就产生了一个系统时钟(采样率)是100M,单通道,相位输出位宽32bit,sine(16bit)和cosine(16bit)同时输出,用phase通道对PINC和POFF进行配置,带有aresetn的DDS。
dds_compiler_0 your_instance_name (.aclk(aclk),                                // input wire aclk.aresetn(aresetn),                          // input wire aresetn.s_axis_phase_tvalid(s_axis_phase_tvalid),  // input wire s_axis_phase_tvalid.s_axis_phase_tdata(s_axis_phase_tdata),    // input wire [63 : 0] s_axis_phase_tdata.m_axis_data_tvalid(m_axis_data_tvalid),    // output wire m_axis_data_tvalid.m_axis_data_tdata(m_axis_data_tdata),      // output wire [31 : 0] m_axis_data_tdata.m_axis_phase_tvalid(m_axis_phase_tvalid),  // output wire m_axis_phase_tvalid.m_axis_phase_tdata(m_axis_phase_tdata)    // output wire [31 : 0] m_axis_phase_tdata
);
计算输出频率的公式如图。
根据这个公式,按照我们举例生成的DDS要是想生成一个1M的信号,则需要输入PINC=1*2^32/100='h28F_5C29,POFF根据需求来设置。

拓展延申

如果在此基础上,要求改变系统的采样率为2400M,要求输出一个600M的信号要怎么做呢?系统时钟不可能直接提供一个2400的时钟,DDS IP核最高接受1000M的时钟,但是FPGA也没法使用这么快的时钟。怎么办呢? 采用多个此DDS同时输出信号来提高采样率
第一个问题,提高采样率。
一个DDS的采样率是100M,要并行24路才能达到2400M的采样率。
第二个问题,输出600M信号。
按照上面的计算公式,DDS要输出600M,PINC=600*2^32/100='h6_0000_0000。
第三个问题,24路如何输出一个连续信号?
其实就是把每个阶段的相位增量(PINC)平均分给24个DDS,DDS从第1个开始依次输出的信号相位为PINC+POFF,PINC+POFF+POFF,PINC+POFF+POFF+POFF……一直到第24个DDS。如下图,24个DDS输出24个点。
附上代码:
genvar i;
generatefor(i=0; i<channal; i=i+1)begin:channal_i       always @(posedge clk or posedge rst)beginif(rst)beginPINC[i] <= 'h0;    POFF[i] <= 'h0;    s_axis_phase_tvalid[i] <= 1'b0;end    else beginPINC[i] <= PINC_adjust;POFF[i] <= (PINC_adjust/channal)*i;      s_axis_phase_tvalid[i] <= 1'b1;         endend dds_compiler_0 dds_compiler_0 (.aclk(clk),                                .aresetn(aresetn),                          .s_axis_phase_tvalid(s_axis_phase_tvalid[i]),  .s_axis_phase_tdata({POFF[i],PINC[i]}),    .m_axis_data_tvalid(m_axis_data_tvalid[i]),.m_axis_data_tdata({sine[i],cosine[i]}), .m_axis_phase_tvalid(m_axis_phase_tvalid[i]), .m_axis_phase_tdata(m_axis_phase_tdata[i]) );end
endgenerate

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/648837.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【SpringCloud Nacos】 微服务治理介绍及Nacos引入初体验

文章目录 前言服务治理介绍什么是服务治理1、服务发现2、服务配置3、服务健康检测 常见的注册中心ZookeeperEurekaConsulNacos Nacos 简介Nacos 实战入门搭建nacos环境1、安装nacos2、配置nacos3、访问nacos 将商品微服务注册到 nacos1、在 pom. xml 中添加 nacos 的依赖2、在主…

spring cloud之分布式事务

写在前面 1&#xff1a;分布式事务介绍 参考MySQL之分布式事务 。 2&#xff1a;seata实战 架构图&#xff1a; 可以看到seata在这里作为协调者的角色&#xff0c;协调所有事务的提交以及回滚&#xff0c;其中seata使用MySQL存储每个分支事务的执行状态信息&#xff0c;以…

gitlab设置/修改克隆clone地址端口

最近由于公司要停测试库云服务器? 什么?要停测试库服务器??? 是的! 你没听错。 真是醉了,多大的集团,为了省钱,也真是拼了, 作为开发人员,没有测试服务器,犹如断臂之人。 所以,在之前搭建环境的时候都没有写文档,今天算是弥补上,以后都可以作为参考了, …

MySQL和Redis的事务有什么异同?

MySQL和Redis是两种不同类型的数据库管理系统&#xff0c;它们在事务处理方面有一些重要的异同点。 MySQL事务&#xff1a; ACID属性&#xff1a; MySQL是一个关系型数据库管理系统&#xff08;RDBMS&#xff09;&#xff0c;支持ACID属性&#xff0c;即原子性&#xff08;Ato…

23种设计模式,你学废了嘛?【建议收藏起来】

23种经典设计模式共分为3种类型&#xff0c;分别是创建型、结构型和行为型。 今天&#xff0c;我们把这3种类型分成3个对应的小模块&#xff0c;逐一带你回顾一下每一种设计模式的原理、实现、设计意图和应用场景。 还是那句话&#xff0c;如果你看了之后&#xff0c;感觉都有…

Kafka3学习笔记

文章目录 一、Kafka概述和入门1、Kafka概述1.1 定义1.2 消息队列1.3 Kafka 基础架构 2、Kafka 快速入门2.1 安装部署2.2 集群启停脚本 3、Kafka 命令行操作3.1 Topic命令行操作3.2 生产者命令行操作3.3 消费者命令行操作 二、Kafka核心概念详解1、Kafka 生产者1.1 生产者消息发…

MySQL 多表查询

文章目录 多表关系一对多多对多一对一 查询合并查询&#xff08;笛卡尔积&#xff0c;会展示所有组合结果&#xff09;&#xff1a;内连接查询外连接查询自连接查询联合查询 union, union all子查询单行子查询列子查询行子查询表子查询 :::success 多表查询&#xff0c;也称为…

类和对象 第三部分第二小节:空指针访问成员函数

C中空指针也可以调用成员函数的&#xff0c;但是也要注意有没有用到this指针&#xff0c;如果有用到this指针&#xff0c;需要加以保证代码的健壮性 代码案例 出现报错 报错原因&#xff1a;因为新建的指针是空&#xff0c;所以this指代的对象为空&#xff0c;因此没有成员变量…

Flume1.9基础学习

文章目录 一、Flume 入门概述1、概述2、Flume 基础架构2.1 Agent2.2 Source2.3 Sink2.4 Channel2.5 Event 3、Flume 安装部署3.1 安装地址3.2 安装部署 二、Flume 入门案例1、监控端口数据官方案例1.1 概述1.2 实现步骤 2、实时监控单个追加文件2.1 概述2.2 实现步骤 3、实时监…

无人机路径优化(八):五种元启发算法(DBO、LO、SWO、COA、LSO、KOA、GRO)求解无人机路径规划(提供MATLAB代码)

一、五种算法&#xff08;DBO、LO、SWO、COA、GRO&#xff09;简介 1、蜣螂优化算法DBO 蜣螂优化算法&#xff08;Dung beetle optimizer&#xff0c;DBO&#xff09;由Jiankai Xue和Bo Shen于2022年提出&#xff0c;该算法主要受蜣螂的滚球、跳舞、觅食、偷窃和繁殖行为的启发…

华为路由器IPv6基础配置

1. R2的两个接口均采用静态IPv6地址配置方法 2. R1的GigabitEthernet0/0/3接口采用无状态 地址配置 3. R3的GigabitEthernet0/0/3接口采用DHCPv6 的方式配置IPv6地址 R1配置 ipv6 #全局使能IPv6 interface GigabitEthernet0/0/0ipv6 enable ipv6 address auto link-local #为…

vue项目打包部署到服务器并使用cdn加速

配置 vue.config.js文件 const isProd process.env.NODE_ENV production module.exports {// 其他配置chainWebpack: config > {// 生产环境下使用CDNif (isProd) {config.plugin(html).tap(args > {args[0].cdn assetsCDNreturn args})}},// 生产环境下替换路径为c…

【Druid 登陆异常】

Druid 登陆异常 问题描述&#xff1a;页面登陆将请求参数放到请求体中导致无法通过request.getParameter 方式 服务加载流程 通过 DruidStatViewServletConfiguration 中方法 statViewServletRegistrationBean 初始化StatViewServlet 通过 com.alibaba.druid.support.jakarta…

【Android】在WSA安卓子系统中进行新实验性功能试用与抓包(2311.4.5.0)

前言 在根据几篇22和23的WSA抓包文章进行尝试时遇到了问题&#xff0c;同时发现新版Wsa的一些实验性功能能优化抓包配置时的一些步骤&#xff0c;因而写下此篇以作记录。 Wsa版本&#xff1a;2311.40000.5.0 本文出现的项目&#xff1a; MagiskOnWSALocal MagiskTrustUserCer…

Ultraleap 3Di示例Interactable Objects组件分析

该示例代码位置如下&#xff1a; 分析如下&#xff1a; Hover Enabled&#xff1a;悬停功能&#xff0c;手放在这个模型上&#xff0c;会触发我们手放在这个模型上的悬停功能。此时当手靠近模型的时候&#xff0c;手的模型的颜色会发生改变&#xff0c;反之&#xff0c;则不会…

Java服务端使用freemarker+wkhtmltoimage生成Echart图片

目录 1.通过 freemarker 将ftl转成html 1.1 freemarker 手册: 1.2 添加freemarker maven依赖 1.3 添加 echart-test.ftl 模版文件 1.4 添加 FreemarkerTool 工具类 1.5 添加测试main方法 1.6 运行,生成echart-test-时间戳.html 文件 2. 通过wkhtmltoimage将html 转为p…

数位dp,HDU 4151 The Special Number

一、题目 1、题目描述 In this problem, we assume the positive integer with the following properties are called ‘the special number’: 1) The special number is a non-negative integer without any leading zero. 2) The numbers in every digit of the special nu…

763. 划分字母区间 - 力扣(LeetCode)

题目描述 给你一个字符串 s 。我们要把这个字符串划分为尽可能多的片段&#xff0c;同一字母最多出现在一个片段中。 注意&#xff0c;划分结果需要满足&#xff1a;将所有划分结果按顺序连接&#xff0c;得到的字符串仍然是 s 。 返回一个表示每个字符串片段的长度的列表。…

股票交易维度和概念

股票&#xff1a;股份公司为筹集资金而发行给各个股东作为持股凭证并借以取得股息和红利的一种有价证券 好处&#xff1a;分红、送股配股、交易收益、本金少、易变现、避免货币贬值 金融标的投资风险与收益 股票分类 蓝筹股 经营业绩长期稳定增长的大公司&#xff0c;一般是…

IaC基础设施即代码:Terraform 连接 azure Blob 实现多资源管理

目录 一、实验 1.环境 2.Terraform 连接 azure Blob 3.申请虚拟网络资源 4.申请子网资源 5.申请安全组资源 6.申请公网IP与网络接口资源 7.申请虚拟机资源 8.申请负载均衡器 9.销毁资源 二、问题 1.存储无法删除 一、实验 1.环境 &#xff08;1&#xff09;主机 表…