Halcon 一维测量

文章目录

  • 算子
    • 矩形算子
    • 弧形算子
    • 移动到新的参考点
  • Halcon 案例测量保险丝的宽度(边缘对测量)
    • 使用助手进行测量
  • halcon 案例获取芯片引脚的个数平均宽度距离,连续两个边缘的距离(measure_pos )
  • halcon 定位测量
  • Halcon 测量弧形
  • Halcon 水平测量检测

算子

矩形算子

gen_measure_rectangle2 形成一个测量矩形

gen_measure_rectangle2( : : Row, Column, Phi, Length1, Length2, Width, Height, Interpolation : MeasureHandle)Row (input_control)   rectangle2.center.y → (real / integer)矩形中心的Row坐标。Default value: 300.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ Row ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Column (input_control)    rectangle2.center.x → (real / integer)矩形中心的Column坐标。.Default value: 200.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ Column ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Phi (input_control)    rectangle2.angle.rad → (real / integer)矩形的纵轴与水平的角度(弧度)。Default value: 0.0Suggested values: -1.178097, -0.785398, -0.392699, 0.0, 0.392699, 0.785398, 1.178097Typical range of values: -1.178097 ≤ Phi ≤ 1.178097 (lin)Minimum increment: 0.001Recommended increment: 0.1Restriction: (- pi < Phi) && (Phi <= pi)Length1 (input_control)    rectangle2.hwidth → (real / integer)矩形的半宽。Default value: 100.0Suggested values: 3.0, 5.0, 10.0, 15.0, 20.0, 50.0, 100.0, 200.0, 300.0, 500.0Typical range of values: 1.0 ≤ Length1 ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Restriction: Length1 >= 1.0Length2 (input_control)    rectangle2.hheight → (real / integer)矩形的半高。Default value: 20.0Suggested values: 1.0, 2.0, 3.0, 5.0, 10.0, 15.0, 20.0, 50.0, 100.0, 200.0Typical range of values: 0.0 ≤ Length2 ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Restriction: Length2 >= 0.0Width (input_control)    extent.x → (integer)随后要处理的图像的宽度。Default value: 512Suggested values: 128, 160, 192, 256, 320, 384, 512, 640, 768Typical range of values: 0 ≤ Width ≤ 1024 (lin)Minimum increment: 1Recommended increment: 16Height (input_control)    extent.y → (integer)随后要处理的图像的高度。Default value: 512Suggested values: 120, 128, 144, 240, 256, 288, 480, 512, 576Typical range of values: 0 ≤ Height ≤ 1024 (lin)Minimum increment: 1Recommended increment: 16Interpolation (input_control)    string → (string)要使用的插值类型。Default value: ‘nearest_neighbor’List of values: ‘bicubic’, ‘bilinear’, ‘nearest_neighbor’MeasureHandle (output_control)    measure_id → (integer)measure对象句柄

measure_pairs 测量边缘对算子

measure_pairs(Image : : MeasureHandle,Sigma,Threshold,Transition,Select :RowEdgeFirst,ColumnEdgeFirst,AmplitudeFirst,RowEdgeSecond,ColumnEdgeSecond,AmplitudeSecond,IntraDistance,InterDistance)Image (input_object)  singlechannelimage → object (byte / uint2 / real)输入图像MeasureHandle (input_control)  measure_id → (integer)测量对象句柄Sigma (input_control)  number → (real)平滑的越厉害找的边数越少,平滑的越不厉害找的边数越多高斯平滑的Sigma。默认值:1.0建议值:0.4,0.6,0.8,1.0,1.5,2.0,3.0,4.0,5.0,7.0,10.0典型值范围:0.4≤Sigma≤100(lin)最小增量:0.01推荐增量:0.1限制:Sigma> = 0.4Threshold (input_control)  number → (real)最小边缘幅度。默认值:30.0建议值:5.010.020.030.040.050.060.070.090.0110.0典型值范围:1≤阈值≤255(lin)最小增量:0.5推荐增量:2
Transition (input_control)  string → (string)确定边缘如何分组到边缘对的灰度值转换类型。默认值:'all'值列表:'all''positive''negative''all_strongest''positive_strongest''negative_strongest'
Select (input_control)  string → (string)选择边缘对。默认值:'all'值列表:'all''first''last'
RowEdgeFirst (output_control)  point.y-array → (real)第一个边缘中心的行坐标。
ColumnEdgeFirst (output_control)  point.x-array → (real)第一个边缘中心的列坐标。
AmplitudeFirst (output_control)  real-array → (real)第一边缘的边缘幅度(边缘的导数值)。
RowEdgeSecond (output_control)  point.y-array → (real)第二边缘中心的行坐标。
ColumnEdgeSecond (output_control)  point.x-array → (real)第二边缘中心的列坐标。
AmplitudeSecond (output_control)  real-array → (real)第二边缘的边缘幅度(带符号)。
IntraDistance (output_control)  real-array → (real)边缘对内边缘之间的距离。
InterDistance (output_control)  real-array → (real)连续边缘对之间的距离。(第一个边缘对到第二个边缘对的距离)

measure_pos 连续两个边缘之间的距离

measure_pos(Image : : MeasureHandle, Sigma, Threshold, Transition, Select : RowEdge, ColumnEdge, Amplitude, Distance)
Image (input_object) 单通道图像→ object (byte / uint2 / real)输入图像。MeasureHandle (input_control) measure_id → (integer)Measure对象句柄。Sigma (input_control) number → (real)
平滑的越厉害找的边数越少,平滑的越不厉害找的边数越多高斯模糊的Sigma值Default value: 1.0Suggested values: 0.4, 0.6, 0.8, 1.0, 1.5, 2.0, 3.0, 4.0, 5.0, 7.0, 10.0Typical range of values: 0.4 ≤ Sigma ≤ 100 (lin)Minimum increment: 0.01Recommended increment: 0.1Restriction: Sigma >= 0.4Threshold (input_control) number → (real)最小边缘幅度。Default value: 30.0Suggested values: 5.0, 10.0, 20.0, 30.0, 40.0, 50.0, 60.0, 70.0, 90.0, 110.0Typical range of values: 1 ≤ Threshold ≤ 255 (lin)Minimum increment: 0.5Recommended increment: 2Transition (input_control) string → (string)亮到暗(负边缘)或者暗到亮(正边缘)的边缘。Default value: ‘all’List of values: ‘all’, ‘negative’, ‘positive’Select (input_control) string → (string)要选择返回的点。Default value: ‘all’List of values: ‘all’, ‘first’, ‘last’RowEdge (output_control) point.y-array → (real)边缘中心的Row坐标。ColumnEdge (output_control) point.x-array → (real)边缘中心的Column坐标。Amplitude (output_control) real-array → (real)边缘的边缘幅度(带符号)。Distance (output_control) real-array → (real)连续边之间的距离。

halcon measure_pos和measure_pairs详解

弧形算子

gen_measure_arc 准备提取垂直于圆弧的直边

gen_measure_arc( : : CenterRow, CenterCol, Radius, AngleStart, AngleExtent, AnnulusRadius, Width, Height, Interpolation : MeasureHandle)CenterRow (input_control)   point.y → (real / integer)圆弧中心的Row坐标。Default value: 100.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ CenterRow ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0CenterCol (input_control)    point.x → (real / integer)圆弧中心的Column坐标。Default value: 100.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ CenterCol ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Radius (input_control)    number → (real / integer)弧的半径。Default value: 50.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ Radius ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0AngleStart (input_control)    angle.rad → (real / integer)圆弧的开始角度,按弧度表示。Default value: 0.0Suggested values: -3.14159, -2.35619, -1.57080, -0.78540, 0.0, 0.78540, 1.57080, 2.35619, 3.14159Typical range of values: -3.14159 ≤ AngleStart ≤ 3.14159 (lin)Minimum increment: 0.03142Recommended increment: 0.31416AngleExtent (input_control)    angle.rad → (real / integer)圆弧的角度范围,以弧度表示。Default value: 6.28318Suggested values: -6.28318, -5.49779, -4.71239, -3.92699, -3.14159, -2.35619, -1.57080, -0.78540, 0.78540, 1.57080, 2.35619, 3.14159, 3.92699, 4.71239, 5.49779, 6.28318Typical range of values: -6.28318 ≤ AngleExtent ≤ 6.28318 (lin)Minimum increment: 0.03142Recommended increment: 0.31416Restriction: AngleExtent != 0.0AnnulusRadius (input_control)    number → (real / integer)环的半径(半宽)。Default value: 10.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ AnnulusRadius ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Restriction: AnnulusRadius <= RadiusWidth (input_control)    extent.x → (integer)要处理的图像的宽度。Default value: 512Suggested values: 128, 160, 192, 256, 320, 384, 512, 640, 768Typical range of values: 0 ≤ Width ≤ 1024 (lin)Minimum increment: 1Recommended increment: 16
Height (input_control)    extent.y → (integer)要处理的图像的高度。Default value: 512Suggested values: 120, 128, 144, 240, 256, 288, 480, 512, 576Typical range of values: 0 ≤ Height ≤ 1024 (lin)Minimum increment: 1Recommended increment: 16Interpolation (input_control)    string → (string)要使用的插值类型。Default value: ‘nearest_neighbor’List of values: ‘bicubic’, ‘bilinear’, ‘nearest_neighbor’MeasureHandle (output_control)    measure_id → (integer)Measure对象的句柄

在这里插入图片描述

移动到新的参考点

translate_measure( : : MeasureHandle, Row, Column : )MeasureHandle (input_control, state is modified)   measure_id → (integer)Measure对象句柄。Row (input_control)    point.y → (real / integer)新参考点的Row坐标。Default value: 50.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ Row ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0Column (input_control)    point.x → (real / integer)新参考点的Column坐标。Default value: 100.0Suggested values: 10.0, 20.0, 50.0, 100.0, 200.0, 300.0, 400.0, 500.0Typical range of values: 0.0 ≤ Column ≤ 511.0 (lin)Minimum increment: 1.0Recommended increment: 10.0

关闭测量


close_measure (句柄)

Halcon 案例测量保险丝的宽度(边缘对测量)

在这里插入图片描述

显示图片

* fuse.hdev: measuring the width of a fuse wire
* 
dev_update_window ('off')
dev_close_window ()
* ****
* step: acquire image
* ****
read_image (Fuse, 'fuse')
get_image_size (Fuse, Width, Height)
dev_open_window_fit_image (Fuse, 0, 0, Width, Height, WindowID)
set_display_font (WindowID, 12, 'mono', 'true', 'false')
dev_set_draw ('margin')
dev_set_line_width (3)
dev_display (Fuse)
set_display_font (WindowID, 12, 'mono', 'true', 'false')
disp_continue_message (WindowID, 'black', 'true')
stop ()

产生识别矩形和测量

Row := 297
Column := 545
Length1 := 80
Length2 := 10
Angle := rad(90)
gen_rectangle2 (ROI, Row, Column, Angle, Length1, Length2)
* -> create measure object
* 产生一个测量矩形
gen_measure_rectangle2 (Row, Column, Angle, Length1, Length2, Width, Height, 'bilinear', MeasureHandle)
dev_display (ROI)
disp_continue_message (WindowID, 'black', 'true')
stop ()
* 测量
measure_pairs (Fuse, MeasureHandle, 1, 1, 'negative', 'all', RowEdgeFirst, ColumnEdgeFirst, AmplitudeFirst, RowEdgeSecond, ColumnEdgeSecond, AmplitudeSecond, IntraDistance, InterDistance)
disp_continue_message (WindowID, 'black', 'true')

识别显示
gen_contour_polygon_xld( : Contour : Row, Col : )
功能:根据一个多边形(polygon)(以元组形式给出)创建一个XLD轮廓(contour)。
(输出)contour:输出创建的XLD轮廓;
(输入)Row:输入的行数组;
(输入)Col:输入的列数组;
gen_contour_polygon_xld 的意思

for i := 0 to |RowEdgeFirst| - 1 by 1* 生成一个亚像素图形显示gen_contour_polygon_xld (EdgeFirst, [-sin(Angle + rad(90)) * Length2 + RowEdgeFirst[i],-sin(Angle - rad(90)) * Length2 + RowEdgeFirst[i]], [cos(Angle + rad(90)) * Length2 + ColumnEdgeFirst[i],cos(Angle - rad(90)) * Length2 + ColumnEdgeFirst[i]])gen_contour_polygon_xld (EdgeSecond, [-sin(Angle + rad(90)) * Length2 + RowEdgeSecond[i],-sin(Angle - rad(90)) * Length2 + RowEdgeSecond[i]], [cos(Angle + rad(90)) * Length2 + ColumnEdgeSecond[i],cos(Angle - rad(90)) * Length2 + ColumnEdgeSecond[i]])dev_set_color ('cyan')dev_display (EdgeFirst)dev_set_color ('magenta')dev_display (EdgeSecond)dev_set_color ('blue')* 设置字体写入位置if (i == 0)set_tposition (WindowID, RowEdgeFirst[i] + 5, ColumnEdgeFirst[i] + 20)elseset_tposition (WindowID, RowEdgeFirst[i] - 40, ColumnEdgeFirst[i] + 20)endif* 写入内容write_string (WindowID, 'width: ' + IntraDistance[i] + ' pix')
endfor
disp_continue_message (WindowID, 'black', 'true')
stop ()
* ****
* step: destroy measure object
* ****
* 关闭测量
close_measure (MeasureHandle)
dev_update_window ('on')
dev_clear_window ()

在这里插入图片描述

使用助手进行测量

读取图片

* fuse.hdev: measuring the width of a fuse wire
* 
dev_update_window ('off')
dev_close_window ()
* ****
* step: acquire image
* ****
read_image (Fuse, 'fuse')
get_image_size (Fuse, Width, Height)
dev_open_window_fit_image (Fuse, 0, 0, Width, Height, WindowID)
set_display_font (WindowID, 12, 'mono', 'true', 'false')
dev_set_draw ('margin')
dev_set_line_width (3)
dev_display (Fuse)
set_display_font (WindowID, 12, 'mono', 'true', 'false')
disp_continue_message (WindowID, 'black', 'true')
stop ()

打开测量助手助手
在这里插入图片描述
鼠标右键停止画线
在这里插入图片描述
在这里插入图片描述

边缘设置
如果不选中:将边缘组成边缘对将使用measure_pos 算子选中使用measure_pairs算子
在这里插入图片描述在这里插入图片描述在这里插入图片描述
在这里插入图片描述
点击导数 一阶导数表示斜率(导数的最小值),导数的极值点表示发现边缘,从亮到暗为导数的极小值点,从暗到亮为导数的极大值点,亮到暗为负,暗到亮为正
a
如果选择相机标定就可以设置结果单位
在这里插入图片描述代表的宽度为竖直方向上的
在这里插入图片描述在这里插入图片描述

在这里插入图片描述在这里插入图片描述

点击插入代码即可(定位可以选择放射或者进行平移)
在这里插入图片描述

halcon 案例获取芯片引脚的个数平均宽度距离,连续两个边缘的距离(measure_pos )

在这里插入图片描述

读取图片

dev_close_window ()
read_image (Image, 'ic_pin')
get_image_size (Image, Width, Height)
dev_open_window (0, 0, Width / 2, Height / 2, 'black', WindowHandle)
set_display_font (WindowHandle, 14, 'mono', 'true', 'false')
dev_display (Image)
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

产生测量矩形

* 手动绘制矩形
draw_rectangle2 (WindowHandle, Row, Column, Phi, Length1, Length2)
* 下面是官方精准位置
*Row := 47
*Column := 485
*Phi := 0
*Length1 := 420
*Length2 := 10
dev_set_color ('green')
dev_set_draw ('margin')
dev_set_line_width (3)
* 形成一个矩形
gen_rectangle2 (Rectangle, Row, Column, Phi, Length1, Length2)
* 产生测量矩形
gen_measure_rectangle2 (Row, Column, Phi, Length1, Length2, Width, Height, 'nearest_neighbor', MeasureHandle)
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

在这里插入图片描述

进行测量

dev_update_pc ('off')
dev_update_var ('off')
n := 100
* 计算秒数
count_seconds (Seconds1)
* 测量100for i := 1 to n by 1* 进行测量measure_pairs (Image, MeasureHandle, 1.5, 30, 'negative', 'all', RowEdgeFirst, ColumnEdgeFirst, AmplitudeFirst, RowEdgeSecond, ColumnEdgeSecond, AmplitudeSecond, PinWidth, PinDistance)
endfor
count_seconds (Seconds2)
Time := Seconds2 - Seconds1
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

显示测量结果

dev_set_color ('red')
disp_line (WindowHandle, RowEdgeFirst, ColumnEdgeFirst, RowEdgeSecond, ColumnEdgeSecond)
* 平均贞的宽度
avgPinWidth := sum(PinWidth) / |PinWidth|
* 平均贞的距离
avgPinDistance := sum(PinDistance) / |PinDistance|
* 贞的距离数量
numPins := |PinWidth|
dev_set_color ('yellow')
disp_message (WindowHandle, 'Number of pins: ' + numPins, 'image', 200, 100, 'yellow', 'false')
disp_message (WindowHandle, 'Average Pin Width:  ' + avgPinWidth, 'image', 260, 100, 'yellow', 'false')
disp_message (WindowHandle, 'Average Pin Distance:  ' + avgPinDistance, 'image', 320, 100, 'yellow', 'false')
* dump_window (WindowHandle, 'tiff_rgb', 'C:\\Temp\\pins_result')
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

在这里插入图片描述

显示矩形

stop ()
* draw_rectangle1 (WindowHandle, Row1, Column1, Row2, Column2)
Row1 := 0
Column1 := 600
Row2 := 100
Column2 := 700
dev_set_color ('blue')
disp_rectangle1 (WindowHandle, Row1, Column1, Row2, Column2)
stop ()

在这里插入图片描述

放大显示

* 设置显示部分
dev_set_part (Row1, Column1, Row2, Column2)
dev_display (Image)
dev_set_color ('green')
dev_display (Rectangle)
dev_set_color ('red')
disp_line (WindowHandle, RowEdgeFirst, ColumnEdgeFirst, RowEdgeSecond, ColumnEdgeSecond)
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

在这里插入图片描述

求连续两个边缘的距离

dev_set_color ('green')
* draw_rectangle2 (WindowHandle, Row, Column, Phi, Length1, Length2)
Row := 508
Column := 200
Phi := -1.5708
Length1 := 482
Length2 := 35
* 产生一个矩形
gen_rectangle2 (Rectangle, Row, Column, Phi, Length1, Length2)
* 生产测量矩形
gen_measure_rectangle2 (Row, Column, Phi, Length1, Length2, Width, Height, 'nearest_neighbor', MeasureHandle)
stop ()
* 求出 距离
measure_pos (Image, MeasureHandle, 1.5, 30, 'all', 'all', RowEdge, ColumnEdge, Amplitude, Distance)
PinHeight1 := RowEdge[1] - RowEdge[0]
PinHeight2 := RowEdge[3] - RowEdge[2]
dev_set_color ('red')
* 绘制曲线ColumnEdge为中心点的宽度,Length2为半高
disp_line (WindowHandle, RowEdge, ColumnEdge - Length2, RowEdge, ColumnEdge+ Length2 )
disp_message (WindowHandle, 'Pin Height:  ' + PinHeight1, 'image', RowEdge[1] + 40, ColumnEdge[1] + 100, 'yellow', 'false')
disp_message (WindowHandle, 'Pin Height:  ' + PinHeight2, 'image', RowEdge[3] - 120, ColumnEdge[3] + 100, 'yellow', 'false')
* dump_window (WindowHandle, 'tiff_rgb', 'C:\\Temp\\pins_height_result')
dev_set_draw ('fill')
dev_set_line_width (1)

在这里插入图片描述

注意
在这里插入图片描述

halcon 定位测量

在这里插入图片描述

读取图片创建匹配模板显示

* This example program shows the use of pattern matching with shape models
* to locate an object.  Furthermore, it shows how to use the detected position
* and rotation of the object to construct search spaces for inspection tasks.
* In this particular example, the print on an IC is used to find the IC.  From the
* found position and rotation, two measurement rectangles are constructed to
* measure the spacing between the leads of the IC.  Because of the lighting
* used in this example, the leads have the saturated gray value of 255 at several
* positions and rotations, which enlarges the apparent width of the leads, and
* hence seems to reduce the spacing between the leads, although the same
* board is used in all images.
dev_update_pc ('off')
dev_update_window ('off')
dev_update_var ('off')
* 从文件中读取图片
open_framegrabber ('File', 1, 1, 0, 0, 0, 0, 'default', -1, 'default', -1, 'default', 'board/board.seq', 'default', -1, 1, FGHandle)
* 获取图片
grab_image (Image, FGHandle)
get_image_size (Image, Width, Height)
dev_close_window ()
dev_open_window (0, 0, Width, Height, 'black', WindowHandle)
dev_open_window (Height + 70, 0, Width, 120, 'black', WindowHandleText)
dev_set_window (WindowHandle)
set_display_font (WindowHandle, 16, 'mono', 'true', 'false')
set_display_font (WindowHandleText, 16, 'mono', 'true', 'false')
dev_set_color ('red')
dev_display (Image)
Row1 := 188
Column1 := 182
Row2 := 298
Column2 := 412
* 生产一个矩形(中心矩形)
gen_rectangle1 (Rectangle, Row1, Column1, Row2, Column2)
* 获取矩形的中心
area_center (Rectangle, Area, Row, Column)
Rect1Row := -102
Rect1Col := 5
Rect2Row := 107
Rect2Col := 5
RectPhi := 0
RectLength1 := 170
RectLength2 := 5
* 产生一个测量贞脚的矩形(上贞脚)
gen_rectangle2 (Rectangle1, Row + Rect1Row, Column + Rect1Col, RectPhi, RectLength1, RectLength2)
* 产生一个测量贞脚的矩形(下贞脚)
gen_rectangle2 (Rectangle2, Row + Rect2Row, Column + Rect2Col, RectPhi, RectLength1, RectLength2)
* 裁剪中心矩形
reduce_domain (Image, Rectangle, ImageReduced)
* 创建形状模板
create_shape_model (ImageReduced, 4, 0, rad(360), rad(1), 'none', 'use_polarity', 30, 10, ModelID)
* 获取形状模型的轮廓
get_shape_model_contours (ShapeModel, ModelID, 1)
* 形成一个单位矩形
hom_mat2d_identity (HomMat2DIdentity)
* 将单位矩阵进行平移到合适的位置
hom_mat2d_translate (HomMat2DIdentity, Row, Column, HomMat2DTranslate)
* 亚像素仿射运算
affine_trans_contour_xld (ShapeModel, ShapeModelTrans, HomMat2DTranslate)
* 显示
dev_display (Image)
dev_set_color ('green')
dev_display (ShapeModelTrans)
dev_set_color ('blue')
dev_set_draw ('margin')
dev_set_line_width (3)
dev_display (Rectangle1)
dev_display (Rectangle2)
dev_set_draw ('fill')
dev_set_line_width (1)
dev_set_color ('yellow')
disp_message (WindowHandle, ['Press left button to start','and stop the demo'], 'window', 12, 12, 'black', 'true')
get_mbutton (WindowHandle, Row3, Column3, Button1)
wait_seconds (0.5)
Button := 0

在这里插入图片描述

定位与测量

while (Button != 1)dev_set_window (WindowHandle)dev_set_part (0, 0, Height - 1, Width - 1)* 获取图片grab_image (ImageCheck, FGHandle)dev_display (ImageCheck)count_seconds (S1)* 找到模板find_shape_model (ImageCheck, ModelID, 0, rad(360), 0.7, 1, 0.5, 'least_squares', 4, 0.7, RowCheck, ColumnCheck, AngleCheck, Score)count_seconds (S2)dev_display (ImageCheck)* 如果找到匹配对象if (|Score| > 0)* 显示匹配结果dev_set_color ('green')* 形成单位矩阵hom_mat2d_identity (HomMat2DIdentity)* 形成平移矩阵hom_mat2d_translate (HomMat2DIdentity, RowCheck, ColumnCheck, HomMat2DTranslate)* 形成旋转矩阵hom_mat2d_rotate (HomMat2DTranslate, AngleCheck, RowCheck, ColumnCheck, HomMat2DRotate)* 仿射运算affine_trans_contour_xld (ShapeModel, ShapeModelTrans, HomMat2DRotate)dev_display (ShapeModelTrans)* 作用在像素点上 affine_trans_pixel(矩阵,输入的像素点的行,列,输出的像素点的行,列)affine_trans_pixel (HomMat2DRotate, Rect1Row, Rect1Col, Rect1RowCheck, Rect1ColCheck)affine_trans_pixel (HomMat2DRotate, Rect2Row, Rect2Col, Rect2RowCheck, Rect2ColCheck)* 绘制贞的上下脚矩形gen_rectangle2 (Rectangle1Check, Rect1RowCheck, Rect1ColCheck, AngleCheck, RectLength1, RectLength2)gen_rectangle2 (Rectangle2Check, Rect2RowCheck, Rect2ColCheck, AngleCheck, RectLength1, RectLength2)dev_set_color ('blue')dev_set_draw ('margin')dev_set_line_width (3)dev_display (Rectangle1Check)dev_display (Rectangle2Check)dev_set_draw ('fill')count_seconds (S3)* 形成测量矩形上下贞脚gen_measure_rectangle2 (Rect1RowCheck, Rect1ColCheck, AngleCheck, RectLength1, RectLength2, Width, Height, 'bilinear', MeasureHandle1)gen_measure_rectangle2 (Rect2RowCheck, Rect2ColCheck, AngleCheck, RectLength1, RectLength2, Width, Height, 'bilinear', MeasureHandle2)* 进行边缘对测量measure_pairs (ImageCheck, MeasureHandle1, 2, 90, 'positive', 'all', RowEdgeFirst1, ColumnEdgeFirst1, AmplitudeFirst1, RowEdgeSecond1, ColumnEdgeSecond1, AmplitudeSecond1, IntraDistance1, InterDistance1)measure_pairs (ImageCheck, MeasureHandle2, 2, 90, 'positive', 'all', RowEdgeFirst2, ColumnEdgeFirst2, AmplitudeFirst2, RowEdgeSecond2, ColumnEdgeSecond2, AmplitudeSecond2, IntraDistance2, InterDistance2)count_seconds (S4)dev_set_color ('red')* 绘制直线disp_line (WindowHandle, RowEdgeFirst1 - RectLength2 * cos(AngleCheck), ColumnEdgeFirst1 - RectLength2 * sin(AngleCheck), RowEdgeFirst1 + RectLength2 * cos(AngleCheck), ColumnEdgeFirst1 + RectLength2 * sin(AngleCheck))disp_line (WindowHandle, RowEdgeSecond1 - RectLength2 * cos(AngleCheck), ColumnEdgeSecond1 - RectLength2 * sin(AngleCheck), RowEdgeSecond1 + RectLength2 * cos(AngleCheck), ColumnEdgeSecond1 + RectLength2 * sin(AngleCheck))disp_line (WindowHandle, RowEdgeFirst2 - RectLength2 * cos(AngleCheck), ColumnEdgeFirst2 - RectLength2 * sin(AngleCheck), RowEdgeFirst2 + RectLength2 * cos(AngleCheck), ColumnEdgeFirst2 + RectLength2 * sin(AngleCheck))disp_line (WindowHandle, RowEdgeSecond2 - RectLength2 * cos(AngleCheck), ColumnEdgeSecond2 - RectLength2 * sin(AngleCheck), RowEdgeSecond2 + RectLength2 * cos(AngleCheck), ColumnEdgeSecond2 + RectLength2 * sin(AngleCheck))dev_set_line_width (1)* 计算贞的数量,数组的大小NumLeads := |IntraDistance1| + |IntraDistance2|* 计算贞的最小距离MinDistance := min([InterDistance1,InterDistance2])dev_set_window (WindowHandleText)dev_set_part (0, 0, 119, Width - 1)dev_clear_window ()disp_message (WindowHandleText, 'Matching: Time: ' + ((S2 - S1) * 1000)$'5.2f' + 'ms , Score: ' + Score$'7.5f', 'image', 20, 20, 'green', 'false')disp_message (WindowHandleText, 'Measure:  Time: ' + ((S4 - S3) * 1000)$'5.2f' + ' ms, Num. leads: ' + NumLeads$'2d', 'image', 50, 20, 'red', 'false')disp_message (WindowHandleText, '          Min. lead dist: ' + MinDistance$'6.3f', 'image', 80, 20, 'red', 'false')endifdev_error_var (Error, 1)dev_set_check ('~give_error')get_mposition (WindowHandle, R, C, Button)dev_error_var (Error, 0)dev_set_check ('give_error')if (Error != H_MSG_TRUE)Button := 0endif
endwhile
dev_set_window (WindowHandleText)
dev_close_window ()
close_framegrabber (FGHandle)

在这里插入图片描述

Halcon 测量弧形

在这里插入图片描述

显示

read_image (Zeiss1, 'zeiss1')
get_image_size (Zeiss1, Width, Height)
dev_close_window ()
dev_open_window (0, 0, Width / 2, Height / 2, 'black', WindowHandle)
set_display_font (WindowHandle, 14, 'mono', 'true', 'false')
dev_display (Zeiss1)
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

产生弧度测量矩形

* 绘制圆获取行列坐标半径
* draw_circle (WindowHandle, Row, Column, Radius)
Row := 275
Column := 335
Radius := 107
AngleStart := -rad(55)
AngleExtent := rad(170)
dev_set_draw ('fill')
dev_set_color ('green')
dev_set_line_width (1)
* 获取圆弧的起点终点角度
get_points_ellipse (AngleStart + AngleExtent, Row, Column, 0, Radius, Radius, RowPoint, ColPoint)
* 显示圆弧
disp_arc (WindowHandle, Row, Column, AngleExtent, RowPoint, ColPoint)
dev_set_line_width (3)
* 产生圆弧测量矩形
gen_measure_arc (Row, Column, Radius, AngleStart, AngleExtent, 10, Width, Height, 'nearest_neighbor', MeasureHandle)
disp_continue_message (WindowHandle, 'black', 'true')
stop ()

测量以及显示

count_seconds (Seconds1)
n := 10
for i := 1 to n by 1* 获取边缘距离measure_pos (Zeiss1, MeasureHandle, 1, 10, 'all', 'all', RowEdge, ColumnEdge, Amplitude, Distance)
endfor
count_seconds (Seconds2)
Time := (Seconds2 - Seconds1) / n
disp_continue_message (WindowHandle, 'black', 'true')
* stop ()
*验证求点到底的距离
distance_pp (RowEdge[1], ColumnEdge[1], RowEdge[2], ColumnEdge[2], IntermedDist)
* dev_display (Zeiss1)
dev_set_color ('red')
* disp_circle (WindowHandle, RowEdge, ColumnEdge, RowEdge - RowEdge + 1)
* 显示距离线
disp_line (WindowHandle, RowEdge[1], ColumnEdge[1], RowEdge[2], ColumnEdge[2])
dev_set_color ('yellow')
* 显示信息
disp_message (WindowHandle, 'Distance: ' + IntermedDist, 'image', 250, 80, 'yellow', 'false')
* dump_window (WindowHandle, 'tiff_rgb', 'C:\\Temp\\zeiss_result')
dev_set_line_width (1)
* disp_continue_message (WindowHandle, 'black', 'true')
stop ()
dev_clear_window ()

在这里插入图片描述

使用助手同理
在这里插入图片描述

Halcon 水平测量检测

在这里插入图片描述

* In this example a fill level check for the
* pharmaceutical industry is demonstrated. The task is
* to check for the fill level of each single nose drop ampoule.
* To do so, we first locate each ampoule head by applying
* shape-based matching, then we find the fill level
* by measuring the gray level change using a 1D Measuring.
* 
* 
dev_close_window ()
dev_update_off ()
read_image (Image, 'ampoules/ampoules_01')
get_image_size (Image, Width, Height)
dev_open_window_fit_image (Image, 0, 0, -1, -1, WindowHandle)
dev_set_line_width (2)
dev_set_draw ('margin')
set_display_font (WindowHandle, 16, 'mono', 'true', 'false')
* 
* Create a model for the ampoule head to align the measure handle
gen_rectangle1 (Rectangle, 230, 280, 317, 330)
reduce_domain (Image, Rectangle, ImageModel)
* 创建模板
create_shape_model (ImageModel, 'auto', 0, 0, 'auto', 'auto', 'use_polarity', 'auto', 'auto', ModelID)
* 
* Initialize the measure handle
* 初始化测量矩形
gen_measure_rectangle2 (0, 0, rad(90), 75, 20, Width, Height, 'bilinear', MeasureHandle)
Tolerance := 15
* 
* Determine the fill level
NumImages := 8
for Index := 1 to NumImages by 1* 读取图片read_image (Image, 'ampoules/ampoules_' + Index$'.2d')ColumnEdges := []FillLevelHeight := []* 查找模板find_shape_model (Image, ModelID, 0, 0, 0.7, 0, 0.1, 'least_squares', 0, 0.9, Row, Column, Angle, Score)* 平均行MeanRow := mean(Row)* 平均水平RefLevel := MeanRow - 160* Display tolerance areadev_display (Image)dev_set_line_width (1)dev_set_color ('white')* 产生一个矩形gen_rectangle2 (AcceptLevel, RefLevel, mean(Column), 0, 30 + (max(Column) - min(Column)) / 2, Tolerance)* 绘制矩形dev_display (AcceptLevel)dev_set_line_width (2)* *  Determine fill level of each ampouleErrors := 0for Idx := 0 to |Score| - 1 by 1* 移动到测量点translate_measure (MeasureHandle, MeanRow - 135, Column[Idx])* Search for the topmost edge* 测量边measure_pos (Image, MeasureHandle, 2, 7, 'all', 'first', RowEdge, ColumnEdge, Amplitude, Distance)FillLevelHeight := [FillLevelHeight,RowEdge]ColumnEdges := [ColumnEdges,ColumnEdge]* 生成亚像素轮廓gen_cross_contour_xld (Cross, RowEdge, ColumnEdge, 15, 0)* 绘制矩形gen_rectangle2 (FillLevel, RowEdge, ColumnEdge, 0, 28, 20)* 判断是否合格if (abs(FillLevelHeight[Idx] - RefLevel) >= Tolerance)gen_rectangle2 (ChamberSingle, MeanRow - 133, Column[Idx], 0, 35, 90)gen_cross_contour_xld (Cross, FillLevelHeight[Idx], ColumnEdges[Idx], 15, 0)gen_rectangle2 (FillLevel, FillLevelHeight[Idx], ColumnEdges[Idx], 0, 28, 20)Errors := Errors + 1dev_set_color ('red')dev_display (ChamberSingle)disp_message (WindowHandle, 'NG', 'image', FillLevelHeight[Idx] - 50, ColumnEdges[Idx] - 10, 'red', 'false')elsedisp_message (WindowHandle, 'OK', 'image', FillLevelHeight[Idx] - 50, ColumnEdges[Idx] - 10, 'green', 'false')dev_set_color ('green')endifdev_display (FillLevel)dev_display (Cross)endfor* * Check, whether the fill level is within the allowed range - does not deviate too much* from average fill level* * Display statisticsif (Errors > 0)disp_message (WindowHandle, Errors + ' BAD', 'window', 10, 12, 'red', 'true')elsedisp_message (WindowHandle, 'All OK', 'window', 10, 12, 'forest green', 'true')endifif (Index < NumImages)disp_continue_message (WindowHandle, 'black', 'true')stop ()endif
endfor

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/634330.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Java基础面试题-2day

面向对象 创建一个对象用什么运算符&#xff0c;对象实体和对象引用有什么不同&#xff1f; 创建对象使用new String A new String(); A即为对象引用&#xff0c;通过new运算符&#xff0c;创建String()类型的对象实体。 对象引用的存储位置在栈内存 对象实体的存储位置在堆…

【算法】使用优先级队列(堆)解决算法题(TopK等)(C++)

文章目录 1. 前言2. 算法题1046.最后一块石头的重量703.数据流中的第K大元素 2.5 如何选择大根堆 与 小根堆&#xff1f; 为什么选择大根堆&#xff08;小根堆&#xff09;&#xff1f;692.前K个高频单词295.数据流的中位数 1. 前言 我们知道&#xff1a;优先级队列是一种常用…

Maven 基础安装配置及使用

大家好我是苏麟 , 今天聊聊Maven . Maven Maven , 是Apache公司下基于Java开发的开源项目 . 我们构建一个项目需要用到很多第三方的类库&#xff0c;需要引入大量的jar包。一个项目Jar包的数量之多往往让我们瞠目结舌&#xff0c;并且Jar包之间的关系错综复杂&#xff0c;一…

高并发缓存问题分析以及分布式锁的实现

一,场景概述: 在高并发的环境下,比如淘宝,京东不定时的促销活动,大量的用户访问会导致数据库的性能下降,进而有可能数据库宕机从而不能产生正常的服务,一般一个系统最大的性能瓶颈&#xff0c;就是数据库的io操作,如果发生大量的io那么他的问题也会随之而来。从数据库入手也是…

Python | 六、哈希表 Hash Table(列表、集合、映射)

哈希表基础 哈希表是一类数据结构&#xff08;哈希表包含数组、集合和映射&#xff0c;和前两篇文章叙述的字符串、链表平级&#xff09;哈希表概念&#xff1a;类似于Python里的字典类型&#xff0c;哈希表把关键码key值通过哈希函数来和哈希表上的索引对应起来&#xff0c;之…

力扣第236题——二叉树的最近公共祖先 (C语言题解)

题目描述 给定一个二叉树, 找到该树中两个指定节点的最近公共祖先。 百度百科中最近公共祖先的定义为&#xff1a;“对于有根树 T 的两个节点 p、q&#xff0c;最近公共祖先表示为一个节点 x&#xff0c;满足 x 是 p、q 的祖先且 x 的深度尽可能大&#xff08;一个节点也可以…

【论文总结】基于深度学习的特征点提取,特征点检测的方法总结

这里写目录标题 相关工作1. Discriminative Learning of Deep Convolutional Feature Point Descriptors(2015)网络结构sift算法损失函数的构建 2.MatchNet(2015)网络中的组成部分其他组成部分损失函数结果 3.LIFT: Learned Invariant Feature Transform(2016)网络结构训练网络…

虚拟线程探索与实践

优质博文&#xff1a;IT-BLOG-CN 一、简介 虚拟线程是轻量级线程&#xff0c;极大地减少了编写、维护和观察高吞吐量并发应用的工作量。虚拟线程是由JEP 425提出的预览功能&#xff0c;并在JDK 19中发布&#xff0c;JDK 21中最终确定虚拟线程&#xff0c;以下是根据开发者反馈…

【LeetCode每日一题】2171. 拿出最少数目的魔法豆

2024-1-18 文章目录 [2171. 拿出最少数目的魔法豆](https://leetcode.cn/problems/removing-minimum-number-of-magic-beans/)思路&#xff1a; 2171. 拿出最少数目的魔法豆 思路&#xff1a; 对输入的数组进行排序&#xff0c;使得数组中的元素按照升序排列。初始化一个变量s…

SQL注入实战操作

一&#xff1a;SQl注入分类 按照注入的网页功能类型分类&#xff1a; 1、登入注入&#xff1a;表单&#xff0c;如登入表单&#xff0c;注册表单 2、cms注入&#xff1a;CMS逻辑:index.php首页展示内容&#xff0c;具有文章列表(链接具有文章id)、articles.php文 章详细页&a…

阿里云腾讯七牛内容安全配置

一&#xff0c;阿里云 1&#xff0c;配置RAM角色权限 向RAM用户授权系统策略权限&#xff1a;AliyunYundunGreenWebFullAccess 2&#xff0c;内容安全控制台——授权访问OSS 不授权——会报错——no permission(not authorized about role AliyunCIPScanOSSRole)

Linux 【C编程】 引入线程,线程相关函数

1.线程的引入 1.1使用线程同时读取键盘和鼠标 代码演示&#xff1a; #include <stdio.h> #include <stdlib.h> #include <pthread.h> #include <unistd.h> #include <termios.h> #include <fcntl.h> #include <string.h> // 读取…

前端面试题(持续更新~~)

文章目录 一、基础1、数组常用的方法2、数组有哪几种循环方式&#xff1f;分别有什么作用&#xff1f;3、字符串常用的方法4、原型链5、闭包6、常见的继承7、cookie 、localstorage 、 sessionstrorage区别8、数组去重方法9、http 的请求方式10、数据类型的判断方法11、cookie …

性能压力测试:企业成功的关键要素

性能压力测试在现代企业软件开发中扮演着至关重要的角色&#xff0c;它不仅仅是一项技术手段&#xff0c;更是保障企业成功的关键要素。本文将探讨性能压力测试在企业中的重要性&#xff0c;并阐述其对业务稳定性、用户体验和品牌声誉的影响。 一、保障业务稳定性 1、应对高负载…

使用zabbix-proxy进行分布式监控

目录 一、准备4台服务器 二、配置主从复制 1.准备环境 2.主机名解析 3.安装数据库 4.配置主库db1 5.配置从库db2 6.主从状态显示 三、db1&#xff0c;db2配置zabbix-agent 三、zabbix-server的配置 四、zabbix-proxy的配置 1.为您的平台安装和配置Zabbix-proxy a. …

操作教程|JumpServer堡垒机结合Ansible进行批量系统初始化

运维人员常常需要对资产进行系统初始化的操作&#xff0c;而初始化服务器又是一项繁琐的工作&#xff0c;需要花费运维人员大量的时间和精力。为了提高效率&#xff0c;许多组织会使用自动化工具和脚本来简化这些任务。自动化工具的运用可以大幅降低运维人员的工作量&#xff0…

Redis实战之-分布式锁

一、基本原理和实现方式对比 分布式锁&#xff1a;满足分布式系统或集群模式下多进程可见并且互斥的锁。 分布式锁的核心思想就是让大家都使用同一把锁&#xff0c;只要大家使用的是同一把锁&#xff0c;那么我们就能锁住线程&#xff0c;不让线程进行&#xff0c;让程序串行…

档案数字化如何选择合适的扫描仪

选择合适的扫描仪是进行档案数字化的关键步骤。以下是一些选择合适扫描仪的要点&#xff1a; 1. 扫描速度&#xff1a;选择具有合适的扫描速度的扫描仪&#xff0c;以便能够快速处理大量的文件。 2. 扫描分辨率&#xff1a;扫描分辨率决定了扫描后图像的清晰度。对于大多数文档…

RIP基础实验配置

要使用RIP完成以上命令需求 1&#xff0c;首先划分ip地址 有图可见有四个网段需要划分 192.168.1.0/26 192.168.3.0/26 192.168.7.0/26 192.168.5.0/26 给两个骨干网段&#xff0c;给两个环回接口&#xff0c;由下图所示&#xff1a; 其次&#xff0c;规划好ip后在各个接口…

v-if和v-for哪个优先级更高?

v-if和v-for哪个优先级更高&#xff1f; 结论&#xff1a; vue2输出的渲染函数是先执行循环&#xff0c;在看条件判断&#xff0c;如果将v-if和v-for写在一个标签内&#xff0c;哪怕只渲染列表中的一小部分&#xff0c;也要重新遍历整个列表&#xff0c;无形造成资源浪费。vu…