vivado 使用IP Integrator源

使用IP Integrator源

在Vivado Design Suite中,您可以在RTL中添加和管理IP子系统块设计(.bd)项目或设计。使用Vivado IP集成程序,您可以创建IP子系统块设计。IP集成程序使您能够通过实例化和将Vivado IP目录中的多个IP核互连。可以交互创建设计通过Vivado IDE中的IP integrator画布或通过Tcl命令编程。对于有关使用IP集成程序的信息,请参阅《Vivado Design Suite用户指南:设计IP》使用IP集成器的子系统(UG994)。

重要!AMD Vivado™ IP集成商是Xilinx Platform Studio(XPS)的新替代品嵌入式处理器设计,包括针对AMD Zynq的设计™ 7000台设备和MicroBlaze™处理器。要将现有的XPS设计转移到Vivado IP集成程序中,请参阅从XPS迁移到IPISE到Vivado设计套件迁移指南中的Integrator主题

1.在流导航器中,展开IP Integrator。

2.选择“创建块设计”。

这将打开Vivado IP集成器设计画布,允许您在块中添加和连接IP设计请参阅Vivado Design Suite用户指南中的此链接:使用IP设计IP子系统Integrator(UG994),了解创建新块体设计的详细信息。

3.保存新的块设计时,它会自动添加到当前项目中。您也可以在当前项目之外创建块设计,以创建的存储库可以重复使用并添加到许多不同项目中的块设计。有关更多信息关于在当前项目之外创建块设计,请参阅Vivado design Suite中的此链接

用户指南:使用IP Integrator设计IP子系统(UG994)。

注:有关创建嵌入式处理器块设计的信息,请使用MicroBlaze™ 处理器或针对Zynq 7000设备,请参阅MicroBlaze处理器嵌入式设计用户指南(UG1579)。

添加现有块设计

添加在项目外部创建的块设计源,该块设计源可能位于块设计的存储库,您可以像使用任何其他命令一样使用“添加源”命令来源有关详细信息,请参见添加设计源。

将现有块设计添加到当前项目中时,项目可能会针对不同的AMD的部分比最初针对的部分块设计。这将导致所有IP用于被锁定并且需要更新的块设计。运行工具-report_ip_status以确定通过创建新块导入的ip的状态设计用于添加现有块设计源的Tcl命令

以下是相关的Tcl命令:

• Tcl Command: report_ip_status
• Tcl Command Example: report_ip_status -name ip_status

重要!当您尝试时,锁定的IP会报告为以下严重警告消息生成块设计的输出产品:[BD 41-1336]一个或多个IP被锁定在此方块设计。有关如何解决此问题的更多详细信息和建议,请运行report_ip_status。

1.要解锁IP和块设计,请在的“IP源”选项卡中右键单击块设计“源”窗口,然后选择“报告IP状态”命令。“IP状态”报告将显示解锁块设计所需的IP部件更改。

2.在IP Status报告窗口中,选择Upgrade Selected命令升级IP在块设计中使用,以针对当前项目中使用的新零件。将块设计添加到当前项目后,必须生成输出Vivado设计套件所需的产品,以支持整个街区的设计设计流程。

生成块设计的输出产品

一旦块设计完成并验证了设计,输出产品必须生成以在整个设计流程中支持块设计。这些输出产品

包括诸如Verilog或VHDL实例化模板或HDL包装文件之类的文件,以便于将块设计集成到当前项目中,设计约束文件(XDC)包括为块设计提供时序或物理约束,以及合成网表或设计检查点以支持块设计。块设计的输出产品以当前的目标语言生成项目如果无法在中生成块设计中使用的特定IP的源文件目标语言,一条消息返回到Tcl控制台,输出产品将以可用或支持的语言生成。

要生成输出产品,请右键单击块设计并选择“生成输出”“产品”命令,或从“流导航器”中选择“生成块设计”。将显示“生成输出产品”对话框,如下所示。

生成输出产品还生成块设计的顶级网表。这个网表是根据的目标语言设置以VHDL或Verilog生成的当前项目。默认情况下,为块内的每个IP创建合成设计检查点(DCP)文件设计以加快合成时间。您可以通过选择Out of来更改合成模式“生成输出产品”对话框上的“块设计”单选按钮的上下文。了解更多有关使用上下文外流的信息,请参阅Vivado Design Suite用户中的此链接指南:使用IP Integrator(UG994)设计IP子系统。创建并生成块设计后,您需要将其实例化到您的设计中通过选择方块设计RMB → 创建包装器,或通过实例化块设计在您自己的RTL中。在创建过程中,将出现对话框。

如果要修改包装,请选择“复制生成的包装”以允许用户编辑,否则,选择“让Vivado管理包装”以自动更新。将块设计实例化到当前项目中IP积分器块设计可以实例化到HDL设计的层次结构中,或者它可以是定义为设计层次结构的顶层。要将块设计集成到现有的设计层次结构中,请打开的HDL包装方块设计。当您生成输出产品。HDL包装器提供Verilog模块声明,或者用于块设计的VHDL实体声明,并创建块设计模块的实例包装中。您可以在HDL包装中编辑实例定义,并将其剪切粘贴到设计层次结构。

HDL包装器也可以用于将块设计定义为设计的顶层。对于有关详细信息,请参阅《Vivado Design Suite用户指南:使用设计IP子系统》中的此链接IP集成器(UG994)。

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/625015.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

改进YOLOv8:添加CBAM注意力机制(涨点明显)

1、计算机视觉中的注意力机制 计算机视觉中的注意力机制是一种聚焦于局部信息的机制,其基本思想是让系统学会忽略无关信息而关注重点信息。这种机制在图像识别、物体检测和人脸识别等任务中都发挥了重要作用。 注意力机制的实现方法有多种,其中包括空间…

亲手打造一个本地LLM语音助手来管理智能家居

经历过 Siri 和 Google 助手之后,我发现尽管它们能够控制各种设备,但却无法进行个性化定制,并且不可避免地依赖于云服务。出于对新知识的渴望以及想在生活中使用一些酷炫的东西,我下定决心,要追求更高的目标。我的要求…

【RTOS】快速体验FreeRTOS所有常用API(2)任务管理

目录 二、任务管理2.1 任务创建(三种方式)1)动态内存分配方式创建任务2)静态内存分配方式创建任务3)带有任务参数方式创建任务 2.2 任务删除2.3 两种delay 二、任务管理 该部分在上份代码基础上修改得来,代…

​HDD回暖于2024,与SSD决战于2028--part2

东芝和西部数据在2023年的硬盘产品中都没有采用类似希捷的HAMR技术产品,而是采用了其他的技术方案用于提升存储容量。 东芝采用了MAMR技术,通过微波磁通控制现象来提高高密度区域的写入信号质量。根据厂商的测试数据发现,MAMR的磁头可靠性比H…

Flink-容错机制

Flink中的容错机制 流式数据连续不断地到来,无休无止;所以流处理程序也是持续运行的,并没有一个明确的结束退出时间。机器运行程序,996 起来当然比人要容易得多,不过希望“永远运行”也是不切实际的。因为各种硬件软件…

HCIP ISIS实验

拓扑图&IP划分如下图: 第一步,配置IP地址&环回地址 以R1为例,R2~R8同理 interface GigabitEthernet 0/0/0 ip address 18.1.1.1 24 interface GigabitEthernet 0/0/1 ip address 12.1.1.1 24 interface LoopBack 0 ip address 1.1.…

第07章_面向对象编程(进阶)拓展练习(关键字:this,继承性和方法重写,关键字:super,多态性,Object类)

文章目录 第07章_面向对象编程(进阶)拓展练习01-关键字:this1、Circle类2、MyDate类3、Card类 02-继承性和方法重写4、Person、Student、Teacher类5、DepositCard、CreditCard类6、Employee、Programmer、Designer、Architect类7、判断输出结…

统计学-R语言-4.6

文章目录 前言列联表条形图及其变种---单式条形图条形图及其变种---帕累托图条形图及其变种---复式条形图条形图及其变种---脊形图条形图及其变种---马赛克图饼图及其变种---饼图饼图及其变种---扇形图直方图茎叶图箱线图小提琴图气泡图总结 前言 本篇文章是对数据可视化的补充…

Centos7.9忘记Root密码找回

Centos7.9忘记Root密码找回 1. 背景2. 目的3. 具体操作3.1 重启系统3.2 增加代码3.3 单用户模式3.4 单用户模式3.5 修改密码3.6 创建文件3.7 重启验证 1. 背景 由于物理主机上安装了多个虚拟机,部分虚拟机忘记了root密码,前段时间刚好要用这个虚拟机&…

智慧康养项目:智能技术与产品提升老年人生活品质

智慧康养项目需要集成的一些独特的技术和产品,其中包括: 智能健康监测设备:我们开发了一款能够实时监测老年人身体状况的智能健康监测设备,包括血压、血糖、心率等指标。该设备通过数据分析处理,能够提供个性化的健康…

内存泄漏问题

内存泄漏是一种常见的问题,它可能导致系统内存不断增加,最终耗尽可用内存。解决内存泄漏问题通常需要进行调试和分析。下面是一些可能有助于解决内存泄漏问题的步骤: 1. 监控内存使用情况: a. 使用 malloc 记录日志:…

【Dart】=> [05] Dart初体验-函数

文章目录 函数函数特点可选和默认参数函数对象箭头函数匿名函数综合案例 能够定义并使用Dart函数 学习内容: 函数定义可选和默认参数函数对象箭头函数匿名函数 函数 函数定义 Dart函数的结构: 调用函数: 案例:定义计算任意…

短视频账号矩阵剪辑分发系统无人直播技术开发源头

一、全行业独家源头最全面的核心技术 短视频矩阵新玩法是指利用批量自动混剪系统来处理大量短视频,通过智能算法自动进行视频剪辑、场景切换、特效添加等操作,最终生成高质量、精彩纷呈的混剪视频作品的方法和技术。这一方法的出现使得大规模短视频制作…

牛客周赛 Round 3 解题报告 | 珂学家 | 贪心思维场

前言 寒之不寒无水也,热之不热无火也。 整体评价 感觉比较简单,更加侧重于思维吧。和前几场的Round系列,风格不太一样。 A. 游游的7的倍数 因为连续7个数,比如有一个数是7的倍数 因此从个位数中着手添加,是最好的选…

java自定义排序Comparator

📑前言 本文主要是【java】——java自定义排序Comparator的文章,如果有什么需要改进的地方还请大佬指出⛺️ 🎬作者简介:大家好,我是听风与他🥇 ☁️博客首页:CSDN主页听风与他 🌄每…

2024年AMC8历年真题练一练和答案详解(8),以及全真模拟题

今天是1月15日,距离本周五的AMC8正式比赛还有四天时间,已经放寒假了的孩子可以多点时间复习备考,还在准备期末考试的孩子可以先以期末考试为重,忙里偷闲刷一下AMC8的题目保持感觉——系统的知识学习可能时间不够了,可以…

响应式编程初探-自定义实现Reactive Streams规范

最近在学响应式编程,这里先记录下,响应式编程的一些基础内容 1.名词解释 Reactive Streams、Reactor、WebFlux以及响应式编程之间存在密切的关系,它们共同构成了在Java生态系统中处理异步和响应式编程的一系列工具和框架。 Reactive Streams…

参与直播领取龙年大礼盒!23年Coremail社区年终福利大放送

2023年终福利大放送 Coremail 管理员社区是由 Coremail 邮件安全团队、服务团队及多条产品线共同维护,集 7*24h 在线自助查询、技术问答交流、大咖互动分享、资料下载等功能于一体,专属于 Coremail 邮件管理员、安全员成长互动的知识库社区。 转眼间&am…

数据库|数据库范式(待完成)

文章目录 数据库的范式数据库的基本操作什么是数据库的范式产生的背景(没有规范化的坏处/带来的问题)规范化表格设计的要求五大范式的作用——树立标准打个比方——桥的承载能力1NF(1范式)如何转换成合适的一范式 2NF(…

迈向高效LLM微调:低秩适应(LoRA)技术的原理与实践

在快速发展的人工智能领域中,以高效和有效的方式使用大型语言模型(LLM)变得越来越重要。在本文中,您将学习如何以计算高效的方式使用低秩适应(LoRA)对LLM进行调整! 为什么需要微调?…