vivado 使用源文件

使用源文件

概述

源文件包括从AMD IP添加的设计源、知识产权(IP)源目录、RTL设计源、从系统添加的数字信号处理(DSP)源生成器工具和IP子系统,也称为块设计,由IP集成商创建AMD Vivado的功能™ 设计套件。源文件还包括模拟源文件和约束文件,用于指定设计的时间要求和物理约束定义设计所使用的AMD设备资源。在项目模式下工作时,可以创建并使用Vivado IDE或使用Tcl命令或脚本以及Vivado IDE添加源文件自动管理项目中的源文件。您可以创建和管理源当前项目的本地文件、从库远程引用的文件或单独的文件目录您可以在中的任何位置将Verilog、VHDL和SystemVerilog源文件添加到项目中设计流程。

注意:有关使用AMD Zynq时源文件管理的信息™ 7000台设备,AMDZynq™ UltraScale+™ MPSoC设备和MicroBlaze™ 处理器,请参阅MicroBlaze嵌入式处理器《设计用户指南》(UG1579)。在非项目模式下工作时,可以使用Tcl命令或脚本,但必须手动管理源文件。本章的大部分内容涵盖在项目模式下创建和管理源。在非项目模式下使用源涵盖在非项目模式下创建和管理源。有关项目和的更多信息非项目设计流程模式,请参阅Vivado design Suite用户指南中的此链接:设计流程概述(UG892)。将源文件添加到Vivado Design Suite时,无论是在项目模式下还是在非项目模式下,该工具都会保留文件的相对路径和绝对路径。当打开设计时,默认情况下Vivado design Suite首先应用相对路径来定位文件和目录,如果找不到文件,则应用绝对路径。此功能受控制通过PATH_MODE属性,该属性默认为RelativeFirst。您可以更改此行为为特定的设计源设置PATH_MODE属性。请参阅有关详细信息,请参阅Vivado Design Suite属性参考指南(UG912)中的PATH_MODE属性信息注意:有关与添加源相关联的Tcl命令的信息,请参阅Tcl命令添加设计源、约束文件和仿真源。

创建和添加设计源

在AMD Vivado™ IDE,您可以创建和管理设计源文件,包括HDL或网表文件。在AMD Vivado中打开一个项目™ IDE中,“源”窗口显示设计源、约束和模拟源是文件或文件集的集合,构成当前项目。

“源”窗口提供了查看与关联的源文件的不同方式项目,包括以下视图:

•层次结构:显示设计模块和实例的层次结构以及源包含它们的文件。Vivado IDE自动检测设计层次结构的顶部,尽管您可以根据需要手动更改它。

•IP源:显示IP核心定义的所有文件,这是从Vivado IP积分器,或从System Generator添加的DSP模块。

•库:显示按不同库排序的设计源。

•编译顺序:按从前到后的顺序显示源文件,以及显示了约束的处理顺序。“编译顺序”视图可以显示用于合成、实现或模拟的处理顺序。

提示:有关“源”窗口中使用的图标的信息,请参阅Vivado Design Suite用户指南:使用Vivado IDE(UG893)。创建新的源文件

1.若要创建新的设计源以添加到项目中,请选择“文件” → 添加源。

注意:也可以从“源”窗口的右键单击菜单中选择“添加源”,或者在流导航器中单击“添加源”。

2.在“添加源”向导中,选择“添加”或“创建设计源”,然后单击“下一步”。

3.在“添加或创建设计源”页面中,从子菜单中选择“创建文件”选项以创建新的源文件。

4.在“创建源文件”对话框中,设置以下选项,然后单击“确定”:

•文件类型:指定以下文件格式之一:Verilog文件(扩展名.v)、Verilog头文件(.vh扩展名)、SystemVerilog文件(.sv扩展名)和VHDL文件(.VHDL或.vhd扩展名)或内存文件(.mem)。

•文件名:指定新的HDL源文件的名称。

•文件位置:指定创建文件的位置。文件的占位符将添加到“源”窗口中显示的源列表中。这个

只有在“添加源”向导中单击“完成”,才会创建文件。

提示:您可以多次单击“创建文件”来定义要添加到项目中的几个新模块。

5.在“添加或创建设计源”页面中,为源文件指定适当的库。

默认情况下,所有HDL源都会添加到xil_defaultlib库中。在图书馆列,可以引用现有库名称,也可以手动键入新库名称根据需要指定其他用户VHDL库。

6.单击“完成”创建新的源文件,并将它们添加到项目中。创建新的源文件后,Vivado IDE将打开“定义模块”对话框以提供帮助您可以定义模块或实体声明的端口。在定义模块对话框中,您可以定义Verilog的模块或实体,Verilog使用以下选项的Header、SystemVerilog或VHDL代码:

•新建源文件:如果您创建了多个文件,则会显示此字段,允许您选择要定义的模块的名称。

•实体名称/模块名称:指定VHDL代码中实体结构的名称或者Verilog或SystemVerilog代码中的模块名称。

注意:名称默认为文件名,但可以更改。

•体系结构名称:指定VHDL源文件的体系结构。默认情况下,名称是行为。

注意:在定义Verilog或SystemVerilog模块时,此选项不会出现。

•I/O端口定义:定义要添加到模块定义中的端口:

•端口名称:定义要显示在RTL代码中的端口名称。

•方向:指定端口是输入、输出还是双向端口。

•总线:指定端口是否为总线端口。使用定义总线宽度MSB和LSB选项。

•MSB:定义最高有效位(MSB)的编号。这与LSB相结合字段,以确定所定义的总线的宽度。

•LSB:定义最低有效位(LSB)的数量。

注意:如果端口不是总线端口,则忽略MSB和LSB。“源”窗口列出了新定义的模块。在中编辑新的源文件Vivado IDE文本编辑器,双击文件或从右键菜单中选择“打开文件”。请参阅《Vivado Design Suite用户指南:使用Vivado IDE(UG893)》中的使用文本编辑器以获取有关编辑文件的信息。

添加设计源

1.选择文件 → 添加源。

注意:或者,也可以单击“流导航器”中的“添加源”,或者从右键单击“源”窗口中的菜单。

2.在“添加源”向导中,选择“添加”或“创建设计源”,然后单击“下一步”。

3.在“添加或创建设计源”页面中,设置以下选项,然后单击“完成”。

•添加文件:打开文件浏览器,以便选择要添加到项目中的文件。您可以添加RTL项目的以下文件类型:HDL、EDIF、NGC、BMM、ELF、DCP和其他文件类型。

注意:在“添加源文件”对话框中,每个文件或目录都由一个图标表示作为文件或文件夹。红色小方块表示它是只读的。

•添加目录:打开目录浏览器,从所选目录添加源文件目录。指定目录中具有有效源文件扩展名的文件将添加到项目。

•创建文件:打开“创建源文件”对话框,您可以在其中创建新的VHDL,Verilog、Verilog头或SystemVerilog文件。

•库:通过选择从当前定义的库名称中选择库,或通过键入指定新的库名称库文本字段。

注意:此选项仅适用于VHDL文件。默认情况下,HDL源被添加到xil_defaultlib库。您可以根据需要创建或引用其他用户VHDL库。对于Verilog和SystemVerilog文件,将库设置为xil_defaultlib。

•删除:从要添加的文件列表中删除选定的源文件。

•上移/下移:按列表顺序上移/下移文件或目录。的顺序在下游过程中,文件会影响详细说明和编译的顺序例如合成和模拟。请参见指定顶部模块和重新排序源文件夹。

•扫描并将RTL Include文件添加到项目中:扫描添加的RTL文件并添加任何被引用的Verilog’将文件包含到本地项目目录结构中。

•将源复制到项目中:将文件复制到本地项目目录中,而不是引用原始文件。

注意:如果使用“添加目录”添加源文件的目录,则目录结构为在将文件本地复制到项目中时进行维护。有关详细信息,请参见使用远程源或将源复制到项目中。

•从子目录添加源:从目录的子目录中添加源文件使用“添加目录”选项指定。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/619704.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

C++11 14 17内存管理

智能指针 unique_ptr 初始化 访问和移动赋值 重置和移动内存资源 自定义删除器 shared_ptr 原理 自定义删除器 分配器allocator和new重载 new表达式原理 operator new delete placement new new (buf) 是一种 "placement new" 的使用方式,它允许在已…

Qt/QML编程学习之心得:Grid、GridLayout、GridView、Repeater(33)

GRID网格用处非常大,不仅在excel中,在GUI中,也是非常重要的一种控件。 Grid 网格是一种以网格形式定位其子项的类型。网格创建一个足够大的单元格网格,以容纳其所有子项,并将这些项从左到右、从上到下放置在单元格中。每个项目都位于其单元格的左上角,位置为(0,0)。…

uniapp 开发小程序的时候使用自定义 tabbar 时出现切换页面闪烁的情况

问题:在使用自定义组件的时候可以看到页面切换明显的闪烁, 这种体验是很不好的, 当然最好的方式就是使用原生导航栏, 不要搞花里胡哨的东西。 来看下体验不好的效果 优化调整 先说思路,就是仍然设置原生 tabbar, 在应用启动的时候主动隐藏原生 tabba…

VS QT 创建新的QT类后,编译报错无法解析的外部符号 “public: virtual struct QMetaObject const *

问题描述: 新建QT的 Widgets 类,创建新的窗口 在编译的时候出现以下报错信息: 1>vfhclassifydialog.obj : error LNK2001: 无法解析的外部符号 "public: virtual struct QMetaObject const * __cdecl VfhClassifyDialog::metaObject…

vivado 指定顶部模块和重新排序源

指定顶部模块和重新排序源 文件夹默认情况下,Vivado Design Suite会自动确定设计的顶层添加到的源文件的层次结构和细化、合成和模拟的顺序项目这可以通过右键单击中的“层次更新”设置进行控制“源”窗口的菜单。请参阅中的“源”窗口中的“层次更新”命令Vivado …

Ceph入门到精通-通过 CloudBerry Explorer 管理对象bucket

简介 CloudBerry Explorer 是一款可用于管理对象存储(Cloud Object Storage,COS)的客户端工具。通过 CloudBerry Explorer 可实现将 COS 挂载在 Windows 等操作系统上,方便用户访问、移动和管理 COS 文件。 支持系统 支持 Wind…

uniapp微信小程序投票系统实战 (SpringBoot2+vue3.2+element plus ) -投票帖子管理实现

锋哥原创的uniapp微信小程序投票系统实战: uniapp微信小程序投票系统实战课程 (SpringBoot2vue3.2element plus ) ( 火爆连载更新中... )_哔哩哔哩_bilibiliuniapp微信小程序投票系统实战课程 (SpringBoot2vue3.2element plus ) ( 火爆连载更新中... )共计21条视频…

Java项目:05 停车管理系统

作者主页:舒克日记 简介:Java领域优质创作者、Java项目、学习资料、技术互助 文中获取源码 项目介绍 课题意义: 随着时代和科技的进步,人们的生活水平越来越高,私家车的数量不断上涨,随之产生了一些问题&…

POI-tl 知识整理:整理1 -> 利用模板向word中写入数据

1 文本传值 Testpublic void testText() throws Exception {XWPFTemplate template XWPFTemplate.compile("D:\\Idea-projects\\POI_word\\templates.docx");Map<String, Object> map new HashMap<>();map.put("title", "Hi, girl"…

PyCharm连接服务器 - 1

文章目录 利用PyCharm实现远程开发使用认证代理连接服务器 利用PyCharm实现远程开发 【注】该连接服务器的方法适用于代码在服务器&#xff0c;我们是通过 GateWay 打开远程服务器的代码进行操作。 该功能只有在PyCharm专业版下才可以使用&#xff0c;并且必须是官方的正版许…

谷歌最新医学领域LLM大模型:AMIE

2024年1月11日Google 研究院发布最新医疗大模型AMIE&#xff1a;用于诊断医学推理和对话的研究人工智能系统。 文章链接&#xff1a;Articulate Medical Intelligence Explorer (AMIE) giuthub&#xff1a;目前代码未开源 关于大模型之前有过一篇总结&#xff1a;大语言模型(L…

Jmeter接口测试必会技能:jmeter_HTTP Cookie管理器

HTTP Cookie管理器 HTTP Cookie管理器可以像浏览器一样自动存储和发送cookie&#xff0c;以这种自 动收集的方式收集到的cookie不会在cookie manager中进行展示&#xff0c;但是运行后&#xff0c; 可以通过 查看结果树&#xff08;监听器&#xff09;可以查看到cookie信息 除…

详解矩阵变换:伸缩,旋转,反射和投影

目录 一. 矩阵子空间 二. 矩阵变换 2.1 伸缩矩阵 2.2 旋转矩阵 2.3 反射矩阵 2.4 投影矩阵 2.5 小结 三. 矩阵变换与函数 3.1 原点 3.2 常数倍性质 3.3 加法性质 3.4 小结 四. 空间变换 五. 小结 一. 矩阵子空间 矩阵与向量相乘Ax可以看成子空间的变换。 零空间…

Linux 系统编程:文件系统的底层逻辑 - inode

《Linux 程序设计》的第三章讲文件操作。在提到 目录 时有这么一段文字&#xff1a; 文件&#xff0c;除了本身包含的 内容 以外&#xff0c;它还会有一个 名字 和一些 属性&#xff0c;即“管理信息”&#xff0c;包括文件的创建 / 修改日期和它的访问权限。这些属性被保存在文…

ActiveMQ反序列化RCE漏洞复现(CVE-2023-46604)

漏洞名称 Apache ActiveMQ OpenWire 协议反序列化命令执行漏洞 漏洞描述 Apache ActiveMQ 是美国阿帕奇&#xff08;Apache&#xff09;软件基金会所研发的一套开源的消息中间件&#xff0c;它支持Java消息服务、集群、Spring Framework等。 OpenWire协议在ActiveMQ中被用于…

在虚拟机中安装OpenEuler操作系统

目录 OpenEuler操作系统安装步骤&#xff08;详细&#xff09; 一、首先要做好安装前的准备工作&#xff1a; 二、进行虚拟机的创建&#xff1a; 三、OpenEuler 23.09操作系统的安装部署&#xff1a; OpenEuler操作系统安装步骤&#xff08;详细&#xff09; 一、首先要做好…

C#基于ScottPlot进行可视化

前言 上一篇文章跟大家分享了用NumSharp实现简单的线性回归&#xff0c;但是没有进行可视化&#xff0c;可能对拟合的过程没有直观的感受&#xff0c;因此今天跟大家介绍一下使用C#基于Scottplot进行可视化&#xff0c;当然Python的代码&#xff0c;我也会同步进行可视化。 P…

从AAAI 2024看人工智能研究的最新热点

图 1 由AAAI 2024论文列表生成的词云 AAAI会议作为全球AI领域的顶级学术盛会&#xff0c;被中国计算机学会&#xff08;CCF&#xff09;评为A类会议。AAAI2024的会议论文投稿量达到了历史新高&#xff0c;主赛道收到了12100篇投稿论文&#xff0c;9862篇论文经过严格评审后共有…

imx6ull基于yocto工程的l汇编点亮ed

通过汇编点亮led 在裸机状态下通过汇编点亮led&#xff0c;即没有操作系统&#xff0c;(uboot kernel rootfs 都不需要实现&#xff09;。 led点亮原理 1.GPIO复用 根据原理图&#xff0c;找到led对应的引脚&#xff08;pin)&#xff0c;复用为GPIO&#xff08;只有GPIO才能…

一些硬件知识(三)

uint8_t, uint32_t, 和 uint16_t 是 C 和 C 语言中的数据类型&#xff0c;它们分别表示无符号的 8 位、32 位和 16 位整数。这些数据类型定义在标准库 <stdint.h>&#xff08;在 C 语言中&#xff09;或 <cstdint>&#xff08;在 C 中&#xff09;。 uint8_t&…