HDMI彩条显示实验与方块移动实验

一、HDMI接口简介

        一种数字音视频接口标准,提供高质量的数字音视频传输,同时支持多通道音频、高分辨率视频和其他数据传输功能。提供更高的数据传输带宽(带宽:1s内传输多少比特数据)

  1. 数字传输: HDMI是一种全数字接口,通过数字信号传输音频和视频数据。这有助于防止信号损失,并提供更高的图像和音频质量。

  2. 高清晰度视频: HDMI支持高分辨率视频,包括标准高清晰度(HD)和超高清晰度(UHD)分辨率。常见的分辨率包括720p、1080p、4K等。

  3. 多通道音频: HDMI支持多通道音频传输,包括立体声和环绕声格式。这允许用户在一个单一的HDMI连接上传输高质量音频。

  4. 双向通信: HDMI不仅能够从源设备(如电脑、蓝光播放器)发送信号到显示设备,还能够支持反向通信,使得显示设备可以发送控制信号到源设备。

  5. 支持色彩深度和色域: HDMI支持不同的色彩深度(比如8位、10位、12位)和广色域,有助于提供更丰富的颜色和更高的图像质量。

  6. 多功能: HDMI接口除了传输音视频信号外,还可以支持一些其他功能,如以太网传输(HDMI with Ethernet)、ARC(Audio Return Channel,音频回传通道)等。

        HDMI 向下兼容 DVI。DVI (数字视频接口)只能用来传输视频,而不能同时传输音频;

二、YUV颜色表示

        与 RGB(红绿蓝)不同,YUV 将颜色信息分成亮度(Y,代表亮度)和色度(U、V,代表色度)两部分。YUV 表示的基本思想是,人眼对亮度变化更为敏感,而对色度变化相对不敏感。因此,通过将颜色信息分离,可以更有效地压缩和传输图像。RGB与YUV的转换如下:

三、TMDS协议

(一)概念

         最小化传输差分信号 Transition Minimized Differential Signaling

         DVI 和 HDMI 接口协议在物理层均使用 TMDS 标准传输音视频数据。

  1. 差分信号传输: TMDS使用差分信号传输方式,使用两个引脚来传输一路信号,利用这两个引脚间的电压差的正负极性和大小来决定传输数据的数值( 0 或 1 )。这种方式可以减小电磁辐射和抗干扰性更强。

  2. 过渡最小化编码: TMDS采用过渡最小化编码(Transition Minimized Coding,TMC)技术,以减小信号的过渡时间。这有助于减小电磁干扰,特别是对于高频率的数字信号而言。

  3. 数据通道: TMDS协议通常使用三个数据通道,分别用于红色(R)、绿色(G)和蓝色(B)的视频信息传输。此外,还有一个时钟通道用于同步数据。

  4. TMDS时钟: TMDS时钟通道是一个单独的差分信号通道,用于同步数据通道的传输。它的频率通常是数据通道的两倍。

  5. 数据帧: 数据在TMDS中以数据帧的形式传输,其中包括视频和音频信息。数据帧由特定的同步和控制信息组成。

  6. 带宽和分辨率: TMDS协议的带宽和分辨率直接关联,带宽的增加通常支持更高分辨率的视频传输。不同版本的HDMI和DVI标准支持不同的带宽和分辨率。

(二)信号传输

  • TMDS 连接从逻辑功能上可以划分成两个阶段:“编 / 解码” 和 “并 / 串转换” 。在信号源处完成编码操作,并将数据转为串行传输出去。在接受处完成解码,并将数据转换为并行数据
  • 在编码阶段,编码器将视频源中的像素数据、 HDMI 的音频 / 附加数据,以及行同步和场同步信号分别编码成 10 位的字符流。在并串转换阶段将上述的 10 位字符流转换成串行数据流,并将其从三个差分输出通道发送出去。
  • 这个 10:1 的并转串过程所生成的串行数据速率是实际像素时钟速率的 10 倍。

(三)DVI编码

        DVI 或 HDMI 视频传输所使用的 TMDS 连接通过四个串行通道实现。对于 DVI 来说,其中三个通道分别用于传输视频中每个像素点的红、绿、蓝三个颜色分量(RGB 4:4:4 格式)。 HDMI 默认也是使用三个 RGB 通道,但是它同样可以选择传输像素点的亮度和色度信息(YCrCb 4:4:4 YCrCb 4:2:2 格式)。第四个通道是时钟通道,用于传输像素时钟。独立的 TMDS时钟通道为接收端提供接收的参考频率,保证数据在接收端能够正确恢复。

(四)HDMI编码

        当VDE被拉高时,传输视频有效像素;当ADE被拉高时,传输音频有效数据。

        对于 DVI 传输,整个视频的消隐期都用来传输控制字符。而 HDMI 传输的消隐期除了控制字符之外,还可以用于传输音频或者其他附加数据,比如字幕信息等。这就是 DVI HDMI 协议之间最主要的差别。

(五)TMDS编码算法

       这种算法可以减小传输信号过程的上冲和下冲;而 DC 平衡使信号对传输线的电磁干扰减少;可以用低成本的专用电缆实现长距离、高质量的数字信号传输。

         TMDS 连接从逻辑功能上可以划分成两个阶段:编码和并串转换

        编码阶段算法流程图如下:

每个通道 8-bit 的像素数据都将被转换成 460 个特定 10-bit 字符中的一个。
这个编码机制大致上实现了传输过程中的直流平衡,即一段时间内传输的高电平(数字“ 1” )的个
数大致等于低电平(数字“ 0” )的个数。
每个编码后的 10-bit 字符中状态跳转(“由 1 到 0” 或者“由 0 到 1” )的次数将被限制在五次以内。
每个通道 2-bit 控制信号的状态也要进行编码,编码后分别对应四个不同的 10-bit 控制字
符,分别是 10'b1101010100 , 10'b0010101011 , 10'b0101010100 ,和10'b1010101011 。
每个控制字符都有七次以上的状态跳转。
视频字符和控制字符状态跳转次数的不同将会被用于发送和接收设备的同步。

        在编码之后,3 个通道的 10-bit 字符将进行并串转换,这一过程是通过调用 Quartus 软件中的 ALTDDIO_OUT IP 核来实现。

(六)引脚定义

四、实验目标

        使用HDMI接口,显示彩条

五、程序设计

(一)系统框图

(二)时序图

(三)RGB转DVI

RGB DVI 模块包括 异步复位模块、 DVI 编码模块和 10 位并行转串行模块。设计框图如下:

        整个系统需要两个输入时钟,一个是视频的像素时钟 Pixel Clk ,另外一个时钟 Pixel Clk x5 的频率是像素时钟的五倍。并串转换过程实现的是 10:1 的转换率,理论上转换器需要一个 10 倍像素时钟频率的串行时钟。这里我们只用了一个 5 倍的时钟频率,这是因为 ALTDDIO_OUT IP
可以实现 DDR 的功能,即它在五倍时钟频率的基础上又实现了双倍数据速率。
        编码部分代码:
`timescale 1 ps / 1psmodule dvi_encoder (input            clkin,    // pixel clock inputinput            rstin,    // async. reset input (active high)input      [7:0] din,      // data inputs: expect registeredinput            c0,       // c0 inputinput            c1,       // c1 inputinput            de,       // de inputoutput reg [9:0] dout      // data outputs
);// Counting number of 1s and 0s for each incoming pixel// component. Pipe line the result.// Register Data Input so it matches the pipe lined adder// outputreg [3:0] n1d; //number of 1s in dinreg [7:0] din_q;//计算像素数据中“1”的个数always @ (posedge clkin) beginn1d <=#1 din[0] + din[1] + din[2] + din[3] + din[4] + din[5] + din[6] + din[7];din_q <=#1 din;end///// Stage 1: 8 bit -> 9 bit// Refer to DVI 1.0 Specification, page 29, Figure 3-5///wire decision1;assign decision1 = (n1d > 4'h4) | ((n1d == 4'h4) & (din_q[0] == 1'b0));wire [8:0] q_m;assign q_m[0] = din_q[0];assign q_m[1] = (decision1) ? (q_m[0] ^~ din_q[1]) : (q_m[0] ^ din_q[1]);assign q_m[2] = (decision1) ? (q_m[1] ^~ din_q[2]) : (q_m[1] ^ din_q[2]);assign q_m[3] = (decision1) ? (q_m[2] ^~ din_q[3]) : (q_m[2] ^ din_q[3]);assign q_m[4] = (decision1) ? (q_m[3] ^~ din_q[4]) : (q_m[3] ^ din_q[4]);assign q_m[5] = (decision1) ? (q_m[4] ^~ din_q[5]) : (q_m[4] ^ din_q[5]);assign q_m[6] = (decision1) ? (q_m[5] ^~ din_q[6]) : (q_m[5] ^ din_q[6]);assign q_m[7] = (decision1) ? (q_m[6] ^~ din_q[7]) : (q_m[6] ^ din_q[7]);assign q_m[8] = (decision1) ? 1'b0 : 1'b1;/// Stage 2: 9 bit -> 10 bit// Refer to DVI 1.0 Specification, page 29, Figure 3-5/reg [3:0] n1q_m, n0q_m; // number of 1s and 0s for q_malways @ (posedge clkin) beginn1q_m  <=#1 q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7];n0q_m  <=#1 4'h8 - (q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7]);endparameter CTRLTOKEN0 = 10'b1101010100;parameter CTRLTOKEN1 = 10'b0010101011;parameter CTRLTOKEN2 = 10'b0101010100;parameter CTRLTOKEN3 = 10'b1010101011;reg [4:0] cnt; //disparity counter, MSB is the sign bitwire decision2, decision3;assign decision2 = (cnt == 5'h0) | (n1q_m == n0q_m);/// [(cnt > 0) and (N1q_m > N0q_m)] or [(cnt < 0) and (N0q_m > N1q_m)]/assign decision3 = (~cnt[4] & (n1q_m > n0q_m)) | (cnt[4] & (n0q_m > n1q_m));// pipe line alignmentreg       de_q, de_reg;reg       c0_q, c1_q;reg       c0_reg, c1_reg;reg [8:0] q_m_reg;always @ (posedge clkin) beginde_q    <=#1 de;de_reg  <=#1 de_q;c0_q    <=#1 c0;c0_reg  <=#1 c0_q;c1_q    <=#1 c1;c1_reg  <=#1 c1_q;q_m_reg <=#1 q_m;end///// 10-bit out// disparity counter///always @ (posedge clkin or posedge rstin) beginif(rstin) begindout <= 10'h0;cnt <= 5'h0;end else beginif (de_reg) beginif(decision2) begindout[9]   <=#1 ~q_m_reg[8]; dout[8]   <=#1 q_m_reg[8]; dout[7:0] <=#1 (q_m_reg[8]) ? q_m_reg[7:0] : ~q_m_reg[7:0];cnt <=#1 (~q_m_reg[8]) ? (cnt + n0q_m - n1q_m) : (cnt + n1q_m - n0q_m);end else beginif(decision3) begindout[9]   <=#1 1'b1;dout[8]   <=#1 q_m_reg[8];dout[7:0] <=#1 ~q_m_reg[7:0];cnt <=#1 cnt + {q_m_reg[8], 1'b0} + (n0q_m - n1q_m);end else begindout[9]   <=#1 1'b0;dout[8]   <=#1 q_m_reg[8];dout[7:0] <=#1 q_m_reg[7:0];cnt <=#1 cnt - {~q_m_reg[8], 1'b0} + (n1q_m - n0q_m);endendend else begincase ({c1_reg, c0_reg})2'b00:   dout <=#1 CTRLTOKEN0;2'b01:   dout <=#1 CTRLTOKEN1;2'b10:   dout <=#1 CTRLTOKEN2;default: dout <=#1 CTRLTOKEN3;endcasecnt <=#1 5'h0;endendendendmodule 

(四)ALTDDIO_OUT IP 核简介

        TMDS 编码之后的数据由 serializer_10_to_1 模块进行并串转换, serializer_10_to_1 模块实现 10:1 的并串转换,并实现 DDR(Double Data Rate)  数据输出,其中 DDR 数据的输出是通过调用 ALTDDIO_OUT IP 核来实现。ALTDDIO_OUT IP 核用于实现 DDR 输出接口的功能,实现了将两路单沿信号转换为双沿信号,即在参考时钟的上升沿和下降沿发送数据。由此实现两倍速率的输出。

datain_h :在 outclock 时钟上升沿输入的数据,输入数据的位宽为 WIDTH
datain_l :在 outclock 时钟下降沿输入的数据,输入数据的位宽为 WIDTH
outclock :用于寄存数据输出的时钟信号,在 outclock 时钟信号的每个电平输出 DDR 数据到 dataout 端口;
dataout DDR 输出数据端口,位宽为 WIDTH dataout 端口应该直接提供顶层设计的输出引脚。

(五)十倍速率转换模块

        每个通道上的颜色数据将通过 编码器来转换成一个 10 位的像素字符后。需要通过 并串转
换器转换成串行数据,最后由 TMDS 数据通道发送出去。因此串行输出的时钟频率需要是并行输入的10倍。

`timescale 1ns / 1psmodule serializer_10_to_1(input           serial_clk_5x,      // 输入串行数据时钟input   [9:0]   paralell_data,      // 输入并行数据output 			serial_data_p,      // 输出串行差分数据Poutput 			serial_data_n       // 输出串行差分数据N);//reg define
reg   [2:0]  bit_cnt = 0;    
reg   [4:0]  datain_rise_shift = 0;
reg   [4:0]  datain_fall_shift = 0;//wire define
wire  [4:0]  datain_rise;    
wire  [4:0]  datain_fall;//*****************************************************
//**                    main code
//*****************************************************//上升沿发送Bit[8]/Bit[6]/Bit[4]/Bit[2]/Bit[0]
assign  datain_rise = {paralell_data[8],paralell_data[6],paralell_data[4],paralell_data[2],paralell_data[0]};//下降沿发送Bit[9]/Bit[7]/Bit[5]/Bit[3]/Bit[1]                       
assign  datain_fall = {paralell_data[9],paralell_data[7],paralell_data[5],paralell_data[3],paralell_data[1]};//位计数器赋值
always @(posedge serial_clk_5x) beginif(bit_cnt == 3'd4)bit_cnt <= 1'b0;elsebit_cnt <= bit_cnt + 1'b1;
end                       //移位赋值,发送并行数据的每一位
always @(posedge serial_clk_5x) beginif(bit_cnt == 3'd4) begin               datain_rise_shift <= datain_rise;datain_fall_shift <= datain_fall; end    else begindatain_rise_shift <= datain_rise_shift[4:1];datain_fall_shift <= datain_fall_shift[4:1];end    
end                     //例化DDIO_OUT IP核
ddio_out  u_ddio_out_p(.datain_h    (datain_rise_shift[0]),.datain_l    (datain_fall_shift[0]),.outclock    (serial_clk_5x),.dataout     (serial_data_p));//例化DDIO_OUT IP核
ddio_out  u_ddio_out_n(.datain_h    (~datain_rise_shift[0]),.datain_l    (~datain_fall_shift[0]),.outclock    (serial_clk_5x),.dataout     (serial_data_n));    endmodule

六、HDMI方块移动实验

        为完成方块的显示,需要同时使用像素点的横坐标和纵坐标来绘制方块所在的矩形区域,另外还需要知道矩形区域左上角的顶点坐标。由于视频显示的图像在行场同步信号的同步下不停的刷新,因此只要连续改变方块左上角顶点的坐标,并在新的坐标点处重新绘制方块,即可实现方块移动的效果。
        方块移动部分代码如下:
        
//当方块移动到边界时,改变移动方向
always @(posedge pixel_clk ) begin         if (!sys_rst_n) beginh_direct <= 1'b1;                       //方块初始水平向右移动v_direct <= 1'b1;                       //方块初始竖直向下移动endelse beginif(block_x == SIDE_W - 1'b1)            //到达左边界时,水平向右h_direct <= 1'b1;               else                                    //到达右边界时,水平向左if(block_x == H_DISP - SIDE_W - BLOCK_W)h_direct <= 1'b0;               elseh_direct <= h_direct;if(block_y == SIDE_W - 1'b1)            //到达上边界时,竖直向下v_direct <= 1'b1;                else                                    //到达下边界时,竖直向上if(block_y == V_DISP - SIDE_W - BLOCK_W)v_direct <= 1'b0;               elsev_direct <= v_direct;end
end//根据方块移动方向,改变其纵横坐标
always @(posedge pixel_clk ) begin         if (!sys_rst_n) beginblock_x <= SIDE_W;                     //方块初始位置横坐标block_y <= SIDE_W;                     //方块初始位置纵坐标endelse if(move_en) beginif(h_direct) block_x <= block_x + 1'b1;          //方块向右移动elseblock_x <= block_x - 1'b1;          //方块向左移动if(v_direct) block_y <= block_y + 1'b1;          //方块向下移动elseblock_y <= block_y - 1'b1;          //方块向上移动endelse beginblock_x <= block_x;block_y <= block_y;end
end

###本文参考正点原子视频,仅用于自己学习复习,如有侵权,请联系删除。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/601780.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

C++:类和对象(3)

目录 1.构造函数调用规则 2.深拷贝和浅拷贝 3.初始化列表 4.类对象作为类成员 1.构造函数调用规则 默认情况下&#xff0c;C编译器至少给类添加三个函数&#xff1a; 1.默认构造函数(无参&#xff0c;函数体为空) 2.默认析构函数(无参&#xff0c;函数体为空) 3.默认拷贝构…

标签函数 - 打造JavaScript组件

&#x1f4e2; 鸿蒙专栏&#xff1a;想学鸿蒙的&#xff0c;冲 &#x1f4e2; C语言专栏&#xff1a;想学C语言的&#xff0c;冲 &#x1f4e2; VUE专栏&#xff1a;想学VUE的&#xff0c;冲这里 &#x1f4e2; CSS专栏&#xff1a;想学CSS的&#xff0c;冲这里 &#x1f4…

Flutter 混合开发 - aar打包

背景 项目接入 Flutter 后有两种方式&#xff0c;一种是 module 引入开发&#xff0c;一种是 aar 依赖开发。当前项目中在 Debug 阶段为了方便调试采用 module 开发&#xff0c;在发版时&#xff08;即 Release 阶段&#xff09;采用 aar 依赖引入。为了配合这种模式就需要在 …

高可用分布式部署Spark、完整详细部署教程

前言 Spark 是 UC Berkeley AMP Lab 开源的通用分布式并行计算框架。 Spark基于map reduce算法实现的分布式计算&#xff0c;拥有Hadoop MapReduce所具有的优点&#xff1b;但不同于MapReduce的是Job中间输出和结果可以保存在内存中&#xff0c;从而不再需要读写HDFS&#xff…

Spring AI和Ollama

概述 Spring AI 不仅提供了与 OpenAI 进行API交互&#xff0c;同样支持与 Ollama 进行API交互。Ollama 是一个发布在GitHub上的项目&#xff0c;专为运行、创建和分享大型语言模型而设计&#xff0c;可以轻松地在本地启动和运行大型语言模型。 Docker环境安装Ollama 1.获取D…

AIGC带给开发者的冲击

未来会有两种开发者&#xff0c;一种是会使用AIGC工具的开发者另一种是不会使用AIGC的开发者&#xff0c;AIGC的出现提高了开发效率和代码质量&#xff0c;对开发者意味着需要不断学习和适应新的技术和工作范式&#xff0c;开发者可以把更多的精力放在高级抽象的定义以及更高维…

JS的防抖和节流

目录 防抖 搜索框带来的问题 实现的思路 案例 封装防抖函数 节流 滚动条加载带来的问题 实现的思路 案例 封装节流函数 防抖 搜索框带来的问题 需求&#xff1a;根据输入框内容来请求数据 <!DOCTYPE html> <html lang"en"> <head><…

STHS34PF80人体存在传感器(1)----获取人体存在状态

STHS34PF80人体存在传感器.1--获取人体存在状态 概述视频教学样品申请完整代码下载主要特点硬件准备接口最小系统图生成STM32CUBEMX串口配置IIC配置CS设置串口重定向参考案例获取ID温度测量滤波方式智能识别算法使用块数据更新&#xff08;BDU&#xff09;功能设置ODR速率获取状…

Stable Diffusion架构的3D分子生成模型 GeoLDM - 测评与代码解析

之前&#xff0c;向大家介绍过3D分子生成模型 GeoLDM。 GeoLDM按照Stable Diffusion架构&#xff0c;将3D分子生成的扩散过程运行在隐空间内&#xff0c;优化了基于扩散模型的分子生成。可能是打开Drug-AIGC的关键之作。让精确控制分子生成有了希望。 详见&#xff1a;分子生成…

Python 中的==操作符 和 is关键字

Python是一种功能强大的通用编程语言&#xff0c;提供了各种比较值和对象的方法。其中包括操作符和is关键字&#xff0c;它们的用途不同&#xff0c;但由于它们有时可以达到相同的目的&#xff0c;所以经常会被混淆。在本文中&#xff0c;我们将深入研究和is之间的区别&#xf…

海外分支访问国内服务器系统慢怎么办?

在全球业务不断扩张的今天&#xff0c;企业面临着海外分支访问国内总部服务器系统慢的问题。为了解决这一挑战&#xff0c;我们引入了lxway全球系统专网产品&#xff0c;为企业提供高效、安全的全球网络连接方案。通过解析技术瓶颈和专网的优势&#xff0c;本文将揭示如何借助先…

设计模式之过滤器模式

目录 1.简介 2.过滤器的实现 2.1.过滤器的角色 2.2.类图 2.3.具体实现 3.过滤器模式的优点 4.过滤器模式的不足 5.适用的场景 1.简介 过滤器模式&#xff08;Filter Pattern&#xff09;或标准模式&#xff08;Criteria Pattern&#xff09;是一种结构型设计模式&…

【Python学习】Python学习3-变量类型

目录 【Python学习】Python学习3 前言变量赋值多个变量赋值标准数据类型Python Numbers(数字)Python List&#xff08;列表&#xff09;Python Tuple&#xff08;元组&#xff09;Python Dictionary&#xff08;字典&#xff09; Python数据类型转换总结参考 文章所属专区 Py…

【数据库原理】(9)SQL简介

一.SQL 的发展历史 起源&#xff1a;SQL 起源于 1970 年代&#xff0c;由 IBM 的研究员 Edgar F. Codd 提出的关系模型概念演化而来。初期&#xff1a;Boyce 和 Chamberlin 在 IBM 开发了 SQUARE 语言的原型&#xff0c;后发展成为 SQL。这是为了更好地利用和管理关系数据库。…

C#使用条件语句判断用户登录身份

目录 一、示例 二、生成 利用条件语句判断用户登录身份&#xff0c;根据用户登录身份的不同&#xff0c;给予相应的操作权限。 一、示例 主要用if语句及ComboBox控件。其中&#xff0c;ComboBox是窗体中的下拉列表控件&#xff0c;在使用ComboBox控件前&#xff0c;可以先向…

springboot实现ChatGPT式调用(一次调用,持续返回)

下边实现了一个持续返回100以内随机数的接口&#xff0c;在接口超时之前会每隔1秒返回一个随机数 GetMapping(value "/getRandomNum", produces MediaType.TEXT_EVENT_STREAM_VALUE) public SseEmitter getRandomNum() {SseEmitter emitter new SseEmitter();Th…

【airsim】python控制airsim

使用airsim 1.8.1编译完成&#xff0c;进过block项目在cpp测试后&#xff0c;开始踩坑使用python。 使用AirSim\PythonClient\setup.py或者pip安装airsim。 python setup.py install或者 pip install airsim此时&#xff0c;windows电脑的环境信息 (air_py38) D:\code\Gith…

如何计算CAN通信波特率

目录 1、理论 2、实践 3、注意事项 在CAN总线系统中&#xff0c;波特率的计算是一个关键步骤&#xff0c;它确保网络上的所有设备能够以相同的速率进行通信。 1、理论 波特率的计算涉及到几个关键参数&#xff0c;包括CAN控制器的时钟频率、分频因子、以及位时间的不同部分…

vue icon 本地正常 线上打包失败变乱码

出现这个原因是因为sass解析的问题 Node版本高的话可以通过升级sass版本 并且配置vue.config规避这个问题 //给sass配置的东西 这个对应的版本是sass 1.39.0 本人node版本v14 升级sass版本后出现报错css: {loaderOptions: {scss: {additionalData: import "/styles/var…

JVM知识总结(简单且高效)

1. JVM内存与本地内存 JVM内存&#xff1a;受虚拟机内存大小的参数控制&#xff0c;当大小超过参数设置的大小时会报OOM。本地内存&#xff1a;本地内存不受虚拟机内存参数的限制&#xff0c;只受物理内存容量的限制&#xff1b;虽然不受参数的限制&#xff0c;如果所占内存超过…