【资料分享】全志科技T507-H工业核心板规格书

核心板简介

创龙科技SOM-TLT507是一款基于全志科技T507-H处理器设计的4核ARM Cortex-A53全国产工业核心板,主频高达1.416GHz。核心板CPU、ROM、RAM、电源、晶振等所有元器件均采用国产工业级方案,国产化率100%。

核心板通过邮票孔连接方式引出MIPI CSI、HDMI OUT、RGB DISPLAY、LVDS DISPLAY、CVBS OUT、2x EMAC、4x USB2.0、6x UART、SPI、TWI等接口,支持双屏异显、G31 MP2 GPU、4K@60fps H.265视频硬件解码、4K@25fps H.264视频硬件编码。核心板经过专业的PCB Layout和高低温测试验证,稳定可靠,可满足各种工业应用环境。

用户使用核心板进行二次开发时,仅需专注上层运用,降低了开发难度和时间成本,可快速进行产品方案评估与技术预研。

 

图 1 核心板正面图

 

图 2 核心板背面图

 

图 3 核心板斜视图

 

图 4 核心板侧视图

典型用领域

工业控制

工业网关

能源电力

轨道交通

仪器仪表

软硬件参数

硬件框图

图 5 核心板硬件框图

图 6 T507-H处理器功能框图

硬件参数

表 1

CPU

全志科技T507-H,28nm

4x ARM Cortex-A53,主频高达1.416GHz

GPU:G31 MP2,支持OpenGL ES 1.0/2.0/3.2、Vulkan 1.1、OpenCL 2.0

Encoder:支持4K@25fps H.264视频硬件编码

Decoder:支持4K@60fps H.265视频硬件解码

ROM

8/16GByte eMMC

RAM

1/2GByte DDR4

Video IN

1x MIPI CSI,包含4个数据通道,每通道高达1Gbps,最高支持8M@30fps或4x 1080p@25fps

Video OUT

1x RGB DISPLAY(LCD),支持RGB888、RGB666和RGB565,最高支持1080P@60fps

2x LVDS DISPLAY(LVDS0、LVDS1),支持1080P@60fps

备注:LVDS0、LVDS1与LCD引脚复用

1x CVBS OUT,支持NTSC和PAL制式

1x HDMI OUT,兼容HDCP2.2、HDCP1.4标准,最高支持4K@60fps

LED

1x 电源指示灯

2x 用户可编程指示灯

2x 32pin + 2x 53pin,共170pin,间距1.0mm

其他硬件资源

2x EMAC(EMAC0、EMAC1),EMAC0支持RMII/RGMII PHY接口(10/100/1000Mbps),EMAC1支持RMII PHY接口(10/100Mbps)

1x USB2.0 OTG(USB0),支持高速(480Mbps)、全速(12Mbps)和低速(1.5Mps)模式

3x USB2.0 HOST(USB1、USB2、USB3),支持高速(480Mbps)、全速(12Mbps)和低速(1.5Mbps)模式

2x SMHC(SDC0/SDC1),支持SD3.0、SDIO3.0、MMC5.0

备注:核心板板载eMMC已使用SDC2,未引出至邮票孔引脚

6x TWI(Two Wire Interface)(TWI0~TWI4、S_TWI0),支持标准模式(100Kbps)和高速模式(400Kbps)

备注:核心板板载PMIC已使用S_TWI0,且同时引出至邮票孔引脚

2x SPI(SPI0、SPI1),每路含2个片选信号,时钟频率高达100MHz,支持Master Mode、Slave Mode

1x TSC,可作为SPI(Synchronous Parallel Interface)或SSI(Synchronous Serial Interface)接口

6x UART,UART0~UART5,波特率最高支持4Mbps

6x PWM,支持PWM输出、输入捕获,输出频率高达24/100MHz

1x SCR(Smart Card Reader)

1x CIR(Consumer Infrared)

4x GPADC(General Purpose ADC),12bit分辨率,采样率高达1MHz

备注:由于GPADC0在核心板上已用作DDR类型配置引脚,因此不建议再次使用GPADC0

1x LRADC(Low Rate ADC),6bit分辨率,采样率高达2KHz

3x I2S/PCM,I2S模式支持8个通道及32位/192Kbit采样率,I2S和TDM模式最高支持16个通道及32位/96Kbit采样率

1x OWA(One Wire Audio),兼容S/PDIF协议

1x Audio Codec,包含2通道DAC、1路单端LINEOUTL/LINEOUTR输出

1x JTAG

备注:部分引脚资源存在复用关系。

软件参数

表 2

内核

Linux-4.9.170、Linux-RT-4.9.170

文件系统

Buildroot-201902、Ubuntu

图形界面开发工具

Qt-5.12.5

软件开发套件提供

V2.0_20220618

 

LED

KEY

UART

CAN

SPI

PWM

DDR4

eMMC

SD

GPADC

Ethernet

USB2.0

4G/WIFI/Bluetooth

HDMI OUT

RTC

LINE OUT

MIPI CSI

CVBS OUT

TFT LCD

LVDS LCD

Touch Screen

开发资料

(1) 提供核心板引脚定义、可编辑底板原理图、可编辑底板PCB、芯片Datasheet,协助国产元器件方案选型,缩短硬件设计周期;

(2) 提供系统固化镜像、文件系统镜像、内核驱动源码,以及丰富的Demo程序;

(3) 提供完整的平台开发包、入门教程,节省软件整理时间,让应用开发更简单。

开发案例主要包括:

  • ARM与FPGA通信开发案例(SPI/SDIO)
  • 8/16通道国产同步AD采集开发案例(与AD7606/AD7616管脚兼容)
  • Linux、Linux-RT、Qt应用开发案例
  • Docker容器技术、MQTT通信协议、Ubuntu操作系统演示案例
  • 4G/WIFI/Bluetooth开发案例
  • IgH EtherCAT主站、SPI转CAN开发案例
  • 双屏异显、OpenCV、H.264/H.265视频硬件编解码开发案例

电气特性

工作环境

表 3

环境参数

最小值

典型值

最大值

工作温度

-40°C

/

85°C

工作电压

/

5.0V

/

功耗测试

表 4

工作状态

电压典型值

电流典型值

功耗典型值

空闲状态

5.0V

0.18A

0.90W

满负荷状态

5.0V

0.41A

2.05W

备注:功耗基于TLT507-EVM评估板测得。测试数据与具体应用场景有关,仅供参考。

空闲状态系统启动,评估板不接入其他外接模块,不执行程序。

满负荷状态:系统启动,评估板不接入其他外接模块,运行DDR压力读写测试程序,4个ARM Cortex-A53核心的资源使用率约为100%。

机械尺寸

表 5

PCB尺寸

37mm*58mm

PCB层数

8层

PCB板厚

1.6mm

图 7 核心板机械尺寸图

产品型号

表 6

型号

CPU

主频

eMMC

DDR4

温度级别

是否为

全国产

SOM-TLT507-64GE8GD-I-A1.0

T507-H

1.416GHz

8GByte

1GByte

工业级

SOM-TLT507-128GE16GD-I-A1.0

T507-H

1.416GHz

16GByte

2GByte

工业级

SOM-TLT507-64GE8GD-C-A1.0

T507-H

1.416GHz

8GByte

1GByte

商业级

SOM-TLT507-128GE16GD-C-A1.0

T507-H

1.416GHz

16GByte

2GByte

商业级

备注:标配为SOM-TLT507-64GE8GD-I-A1.0。

型号参数解释

图 8

技术服务

(1) 协助底板设计和测试,减少硬件设计失误;

(2) 协助解决按照用户手册操作出现的异常问题;

(3) 协助产品故障判定;

(4) 协助正确编译与运行所提供的源代码;

(5) 协助进行产品二次开发;

(6) 提供长期的售后服务。

增值服务

主板定制设计

核心板定制设计

嵌入式软件开发

项目合作开发

技术培训

更多关于全志科技T507-H核心板的开发资料,欢迎在评论区留言,感谢您的关注~

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/31066.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

QGIS开发五:使用UI文件

前面我们说了在创建项目时创建的是一个空项目,即不使用 Qt 提供的综合开发套件 Qt Creator,也不使用 Qt Visual Studio Tools 这类工具。 但是后面发现,如果我想要有更加满意的界面布局,还是要自己写一个UI文件,如果不…

深度对话|如何设计合适的网络经济激励措施

近日,我们与Mysten Labs的首席经济学家Alonso de Gortari进行了对话,讨论了如何在网络运营商和参与者之间找到激励措施的平衡,以及Sui的经济如何不断发展。 是什么让您选择将自己的经济学背景应用于区块链和Web3领域? 起初&…

微信个人小程序申请 (AppID 和 AppSecret)

1. 登录微信公众平台 https://mp.weixin.qq.com/cgi-bin/loginpage?url%2Fcgi-bin%2Fhome%3Ft%3Dhome%2Findex%26lang%3Dzh_CN%26token%3D47421820 2. 右上角立即注册 3. 注册类型选择小程序 4. 账号信息 5. 邮箱激活 6. 小程序发布流程 7. 小程序信息 (前往填写) 8. 获取小程…

【一】初步认识数据库

数据库概览数据库 缘起表(Table)的理解用表来定义数据库数据库系统的理解概念层次的理解实例层次的理解 数据库管理系统的理解从用户角度看从系统实现角度看典型的数据库管理系统 数据库语言数据库定义、操纵、控制语言数据库语言 VS 高级语言 内容回顾练习 数据库概览 走马观…

QT笔记——QT自定义事件

我们有时候想发送自定义事件 1:创建自定义事件,首先我们需要知道它的条件 1:自定义事件需要继承QEvent 2:事件的类型需要在 QEvent::User 和 QEvent::MaxUser 范围之间,在QEvent::User之前 是预留给系统的事件 3&#…

前端先行模拟接口(mock+expres+json)

目录 mock模拟数据:data/static.js 路由:index.js 服务器:server.js yarn /node 启动服务器:yarn start 客户端:修改代理路径(修改设置后都要重启才生效) 示例 后端框架express构建服务器 前端发起请求 静态数…

smtplib.SMTPHeloError: (500, b‘Error: bad syntax‘)

如果你编写邮件收发工具的时候,有可能会遇到这个问题。这里直接给出解决办法。 目录 1、检查系统版本 2、点击右侧的更改适配器选项

0基础学C#笔记08:插入排序法

文章目录 前言一、过程简单描述:二、代码总结 前言 我们在玩打牌的时候,你是怎么整理那些牌的呢?一种简单的方法就是一张一张的来,将每一张牌插入到其他已经有序的牌中的适当位置。当我们给无序数组做排序的时候,为了…

十九、docker学习-Dockerfile

Dockerfile 官网地址 https://docs.docker.com/engine/reference/builder/Dockerfile其实就是我们用来构建Docker镜像的源码,当然这不是所谓的编程源码,而是一些命令的集合,只要理解它的逻辑和语法格式,就可以很容易的编写Docke…

为什么DNS协议运行在UDP之上?

DNS (Domain Name System) 运行在 UDP (User Datagram Protocol) 上主要是出于以下原因: 简单性和效率:UDP 是无连接的,这意味着与建立和维护 TCP 连接相比,UDP 有更少的开销。当 DNS 查询被发送时,它只需要一个小的请…

[ MySQL ] — 数据库环境安装、概念和基本使用

目录 安装MySQL 获取mysql官⽅yum源 安装mysql yum 源 安装mysql服务 启动服务 登录 方法1:获取临时root密码 方法2:无密码 方法3:跳过密码认证 配置my.cnf 卸载环境 设置开机启动(可以不设) 常见问题 安装遇到秘钥过期的问题&…

创建型设计模式:4、建造者模式(Builder Pattern)

目录 1、建造者模式含义 2、建造者模式的讲解 3、使用C实现建造者模式的实例 4、建造者模式的优缺点 5、建造者模式VS工厂模式 1、建造者模式含义 The intent of the Builder design pattern is to separate the construction of a complex object from its representatio…

时序预测 | MATLAB实现CNN-BiGRU-Attention时间序列预测

时序预测 | MATLAB实现CNN-BiGRU-Attention时间序列预测 目录 时序预测 | MATLAB实现CNN-BiGRU-Attention时间序列预测预测效果基本介绍模型描述程序设计参考资料 预测效果 基本介绍 MATLAB实现CNN-BiGRU-Attention时间序列预测,CNN-BiGRU-Attention结合注意力机制时…

详解如何计算字符中的字节数

文章目录 字符概念转义 进制的表示前缀区分后缀区分 什么是ASCII产生表述局限性字节计算 什么是Unicode编码方式UCS-2UCS-4 实现方式UTF的字节序和BOM字节计算 JavaScript中使用字符字符使用缺陷规避字符使用缺陷 MIME 编码Base64编码字节计算QP(Quote-Printable) 总结 字符概念…

触控触感方案原厂18按键触摸芯片电路图

VK3618I具有18个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有较 高的集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了2组I2C输出功能,1个INT中断输出脚,2组I2C脚和INT可并联,每组单键输出…

Prometheus流程图(自绘)-核心组件-流程详解

阿丹手绘流程图:图片可能有点小查看的时候放大看看哈! prometheus核心组件 prometheus server Prometheus Server是Prometheus组件中的核心部分,负责实现对监控数据的获取,存储以及查询。Prometheus Server可以通过静态配置管理…

【MySQL】创建高级联结

目录 一、使用表别名 二、使用不同类型的联结 1.自联结 2.自然联结 3.外部联结 3.使用带聚集函数的联结 4.使用联结和联结条件 一、使用表别名 别名除了用于列名和计算字段外,SQL还允许给表名起别名。 起别名有两个好处: 一个是缩短SQL语句&am…

ROS实现机器人移动

开源项目 使用是github上六合机器人工坊的项目。 https://github.com/6-robot/wpr_simulation.git 机器人运动模型 运动模型如下所示:👇 机器人运动的消息包: 实现思路:👇   为什么要使用/cmd_vel话题。因为这…

leetcode 33.搜索旋转排序数组

⭐️ 题目描述 🌟 leetcode链接:搜索旋转排序数组 ps: 本题是二分查找的变形,旋转排序数组之后其实会形成两个有序的区间。算出平均下标先判断是否与 target 相等,因为这样可以减少代码的冗余。如果前者不成立则使用平…

TransNetR:用于多中心分布外测试的息肉分割的基于transformer的残差网络

TransNetR Transformer-based Residual Network for Polyp Segmentation with Multi-Center Out-of-Distribution Testing 阅读笔记 1. 论文名称 《TransNetR Transformer-based Residual Network for Polyp Segmentation with Multi-Center Out-of-Distribution Testing》 用…