第一次记录QPSK,BSPK,MPSK,QAM—MATLAB实现

最近有偶然的机会学习了一次QPSK防止以后忘记又得找资料,这里就详细的记录一下

        基于 QPSK 的通信系统如图 1 所示,QPSK 调制是目前最常用的一种卫星数字和数 字集群信号调制方式,它具有较高的频谱利用率、较强的抗干扰性、在电路上实现也较为简单,在后文仅仅使用MATLAB进行模拟。

图 1 基于QOSK调制的通信系统模型

        其相位图如图 2 所示,二进制数 0 和 1 分别表示两个相位,为了提高传输速率,通 常可以采用多项调制的方法,即将待发的数字信号按两比特一组的方式组合,两位二进 制数的组合方式又四种—(00,01,10,11)。每个组合是一个双比特码,通常可以用四 个不同的相位值表示这四组双比特码。在传输过程中,相位改变一次,传输两个二进制 数。这种调相方法成为四项调相或四项调制,广泛广泛应用 于卫星链路、数字集群等 通信业务。

图2相位图

如图 3 所示,QPSK 信号可以采用正交调制器来实现 

图3 QPSK信号生成原理图

 根据图1和图3的原理图,就可以开始着手写MATLAB代码了。 我将其分为以下几个方面

  1. 模拟源信号
  2. 源信号->双极性信号
  3. 双极性信号转为QPSK信号
  4. QPSK信号经过模拟信道传输,引起失真。
  5. 采用相干解调法分离QPSK信号。
  6. 低通滤波过滤噪音
  7. 抽样判决获得信号
  8. 最后将信号极性反转获得源信号

QPSK详细代码如下,在代码中已经做好注释了。

%% 采用代码实现的4PSK通信系统仿真
% 假设在T=1,加入高斯噪声
clc;
clear all;
close all;
%% 发端
% 1.调制,生成二进制信号
bit_in = randi([0 1],1000,1); 
% 2.变为双极性码
data = -2*bit_in+1;        
% 3.串并转换模块:奇数位为I,偶数为为Q
data_I  = data(1:2:1000);   % 间断获取 I
data_Q  = data(2:2:1000);   % 间断获取 Q
data_I1=repmat(data_I',20,1);
data_Q1=repmat(data_Q',20,1);% 按列优先将data_I1中的数据存入data_I2
for i=1:1e4data_I2(i)=data_I1(i);data_Q2(i)=data_Q1(i);
end% 4.产生升余弦的基带信号
f=0:0.1:1;
xrc=0.5+0.5*cos(pi*f); data_I2_rc=conv(data_I2,xrc)/5.5;
data_Q2_rc=conv(data_Q2,xrc)/5.5;figure
subplot(2,2,1)
stem(bit_in(1:20),'black','LineWidth',2);
axis([0,20,0,1]);
title("发送的消息序列");
subplot(2,2,2)
plot(f,xrc,'black','LineWidth',2);
title("升余弦信号");
subplot(2,2,3)
plot(data_I2_rc(1:20),'black','LineWidth',2);
title("升余弦I信号");
subplot(2,2,4)
plot(data_Q2_rc(1:20),'black','LineWidth',2);
title("升余弦Q信号");% 5. 正交调制(调相法:将基带数字信号(双极性)与载波信号直接相乘的方法)
f1=1;                        % 载波频率
t1=0:0.1:1e3+0.9;I_rc=data_I2_rc.*cos(2*pi*f1*t1);
Q_rc=data_Q2_rc.*sin(2*pi*f1*t1);
x=(sqrt(1/2).*I_rc+sqrt(1/2).*Q_rc);figure(1)
subplot(2,1,1);
plot(t1,x,'black','LineWidth',2); xlabel('t'); ylabel('幅度'); 
grid on; 
axis([0 1/f1*10 -1.2 1.2]);  % 输出2个周期的信号
title('QPSK信号'); %% 6.仿真信道噪声
n0=rand(size(t1))/2;
y=x+n0;subplot(2,1,2);
plot(t1,y,'black','LineWidth',2); xlabel('t'); ylabel('幅度'); 
grid on; 
axis([0 1/f1*10 -2 2]);  % 输出2个周期的信号
title('带噪声的QPSK信号'); %% 仿真接收端
% 7.正交解调:只能采用相干解调
I_demo=y.*cos(2*pi*f1*t1);
Q_demo=y.*sin(2*pi*f1*t1);
% 8.低通滤波
I_recover=conv(I_demo,xrc);    
Q_recover=conv(Q_demo,xrc);
I=I_recover(11:10010);
Q=Q_recover(11:10010);% 9.抽样判决
data_recover=[];
for i=1:20:10000data_recover=[data_recover I(i:1:i+19) Q(i:1:i+19)];
end
bit_recover=[];
for i=1:20:20000if sum(data_recover(i:i+19))>0data_recover_a(i:i+19)=1;bit_recover=[bit_recover 1];elsedata_recover_a(i:i+19)=-1;bit_recover=[bit_recover -1];end
end% 10.变为单极性码
bit_recovered=(1-bit_recover)/2; 
figure(2)
subplot(2,1,1)
stem(bit_in(1:20),'black','LineWidth',2);
axis([0,20,0,1]);
title("发送的消息序列");subplot(2,1,2)
stem(bit_recovered(1:20),'black','LineWidth',2);
axis([0,20,0,1]);
title("接收的消息序列");figure(3)
subplot(2,1,1)
stem(bit_in(1:20),'black','LineWidth',2);
axis([0,20,0,1]);
title("发送的消息序列");subplot(2,1,2)
stem(data(1:20),'black','LineWidth',2);
axis([0,20,-1,1]);
title("双极性码");
set(gcf,'color','w')

BSPK的代码也贴在这里

clc
clear
close all;%%初始化参数设置
data_len = 100000;                       % 原始数据长度
SNR_dB = 0:10;                           % 信噪比 dB形式
SNR = 10.^(SNR_dB/10);                   % Eb/N0
Eb = 1; % 每比特能量
N0 = Eb./SNR ; %噪声功率
error2 = zeros(1,length(SNR_dB));          % 码元错误个数
simu_ber_BPSK = zeros(1,length(SNR_dB));         % 仿真误误码率
theory_ber_BPSK = zeros(1,length(SNR_dB));   % BPSK理论误码率
demod2_signal= zeros(1,data_len);         % 解调信号%%基带信号产生
data_source = round(rand(1,data_len));  % 二进制随机序列%%BPSK基带调制   
send_signal2 = (data_source - 1/2)*2; % 双极性不归零序列 %%高斯信道无编码
for z = 1:length(SNR_dB)noise2 = sqrt(N0(z)/2) * randn(1,data_len); %高斯白噪声receive_signal2 = send_signal2 + noise2;demod_signal2 = zeros(1,data_len);for w = 1:data_lenif (receive_signal2(w) > 0)demod_signal2(w) = 1;              % 接收信号大于0  则判1elsedemod_signal2(w) = 0;              % 接收信号小于0  则判0endend%统计错误码元个数for w = 1:data_lenif(demod_signal2(w) ~=data_source(w) )error2(z) = error2(z) + 1;    % 错误比特个数endend%计算误码率simu_ber_BPSK(z) = error2(z) / data_len;         % 仿真误比特率theory_ber_BPSK(z) = qfunc(sqrt(2*SNR(z)));   % 理论误比特率
end%%二进制序列、基带信号图像
figure(1);
stem(data_source);
title("二进制随机序列");
axis([0,50,0,1]);
figure(2);
stem(send_signal2);
title("BPSK基带调制--发送信号");
axis([0,50,-1.5,1.5]);figure(4);
stem(noise2);
title("高斯白噪声");
axis([0,50,-0.5,0.5]);figure(5)
stem(receive_signal2);
title("接收信号");
axis([0,50,-1.5,1.5]);figure(7)
stem(demod_signal2);
title("解调信号");
axis([0,50,0,1]);figure(8);semilogy(SNR_dB,simu_ber_BPSK,'M-X',SNR_dB,theory_ber_BPSK,'k-s');     grid on;                                      
axis([0 10 10^-5 10^-1])                      
xlabel('Eb/N0 (dB)');                     
ylabel('BER');                                  legend('BPSK仿真误码率','BPSK理论误码率');  %%画星座图
scatterplot(send_signal2);
title('发送信号星座图');
scatterplot(receive_signal2);
title('接收信号星座图');
scatterplot(demod_signal2);
title('解码信号星座图');

MPSK代码

clc;
clear all;
close all;
%% 调用库函数实现MPSK的通信系统仿真M=4;
cycl=80;       % 运行次数
SNR=0:1:30;    % 信噪比
s=randi([0 M-1],1,1000);  % 输入信息一行1000列;BER1=zeros(cycl,length(SNR));for  n=1:cyclfor k=1:length(SNR)x=pskmod(s,M,pi/4);               % M进制PSKy=awgn(x,SNR(k),'measured');      % 在传输序列中加入噪声r=pskdemod(y,M,pi/4);             % 解调r1=reshape(r',1,[]);[num,rat]=biterr(r1,s,log2(M));           % 误码率计算BER1(n,k)=rat;end
endfigure(1)
subplot(2,1,1)
stem(s(1:20),'black','LineWidth',2);
axis([0,20,0,M]);
title("发送的消息序列");subplot(2,1,2)
stem(r1(1:20),'black','LineWidth',2);
axis([0,20,0,M]);
title("接收的消息序列");%% 8PSK
M=8;
cycl=80;       % 运行次数
SNR=0:1:30;    % 信噪比
s=randi([0 M-1],1,1000);  % 输入信息一行1000列;BER2=zeros(cycl,length(SNR));for  n=1:cyclfor k=1:length(SNR)x=pskmod(s,M,pi/4);               % M进制PSKy=awgn(x,SNR(k),'measured');      % 在传输序列中加入噪声r=pskdemod(y,M,pi/4);             % 解调r1=reshape(r',1,[]);[num,rat]=biterr(r1,s,log2(M));           % 误码率计算BER2(n,k)=rat;end
endfigure(2)
subplot(2,1,1)
stem(s(1:20),'black','LineWidth',2);
axis([0,20,0,M]);
title("原始消息序列");subplot(2,1,2)
stem(r1(1:20),'black','LineWidth',2);
axis([0,20,0,M]);
title("传递消息序列");% 统计平均误码率
figure(3)BER1=mean(BER1);
subplot(2,1,1)
semilogy(SNR,BER1,'k-o','LineWidth',2);
xlabel('SNR/dB'); ylabel('BER');
title("4PSK");
grid onBER2=mean(BER2);
subplot(2,1,2)
semilogy(SNR,BER2,'k-o','LineWidth',2);
xlabel('SNR/dB'); ylabel('BER');
title("8PSK");
grid on

QAM代码也在这里

clc;
clear all;
close all;
%% 基于16QAM的通信系统仿真
% 发端
nbit=10000; 
M=16;                                              % M表示QAM调制的阶数
k=log2(M);
graycode=[0 1 3 2 4 5 7 6 12 13 15 14 8 9 11 10];  % 格雷映射编码规则
EsN0=5:20;                         % 信噪比范围
snr=10.^(EsN0/10);                 % 将db转换为线性值
% 产生16进制的消息符号
s=randi([0,1],1,nbit);         
s_reshape=reshape(s,k,nbit/k)';    % 对数据流进行分组,对于16QAM,则每4位一组
msg=bi2de(s_reshape,'left-msb');   % 转化成10进制,作为qammod的输入
% 进行格雷映射
msg1=graycode(msg+1);        
% 调制
r=qammod(msg1,M);         % 调用matlab中的qammod函数,16QAM调制方式的调用(输入0到15的数,M表示QAM调制的阶数)得到调制后符号
spow1=norm(r).^2/nbit;    % 取a+bj的模.^2得到功率除整个符号得到每个符号的平均功率
for i=1:length(EsN0)% 信道sigma=sqrt(spow1/(2*snr(i)));                          % 16QAM根据符号功率求出噪声的功率x=r+sigma*(randn(1,length(r))+1i*randn(1,length(r)));  % 16QAM混入高斯加性白噪声% 16QAM的解调y1=qamdemod(x,M);             % 格雷逆映射y2=graycode(y1+1);        % 返回译码出来的信息,十进制test=de2bi(y2,k,'left-msb');y3=reshape(test',1,nbit);[err1,ber1(i)]=biterr(s,y3); 
end
%% 绘图
figure(1)
subplot(2,1,1)
stem(s(1:20),'black','LineWidth',2);
axis([0,20,0,1.2]);
title("发送的消息序列");subplot(2,1,2)
stem(y3(1:20),'black','LineWidth',2);
axis([0,20,0,1.2]);
title("接收的消息序列");scatterplot(r);           % 调用matlab中的scatterplot函数,画星座点图
scatterplot(x);           % 调用matlab中的scatterplot函数,画rx星座点图% 16QAM调制信号在AWGN信道的性能
figure( )
semilogy(EsN0,ber1,'black','LineWidth',2);                            % ber ser比特仿真值 ser1理论误码率 ber1理论误比特率
title('16QAM调制信号在AWGN信道的性能分析');grid;
xlabel('Es/N0(dB)');                      
ylabel('误比特率');                          

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/240523.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

蓝牙物联网智能家居安防检测系统解决方案

随着科学技术的发展,我们的生活方式正在进行着翻天覆地的变化。互联网技术的实现推动了物联网新模式的出现改变着我们生活,使我们的社会生产生活变得更加的便利与人性化。借此现如今我们的生活方式更是向智能家居方向所发展,这一课题正在被相…

电脑组件整理(持续更新...)

1、*芯片 CPU(承担着负责的运算); 量大阵营: AMD | Intel i5 12400F 6核12线 7nm -- 1189元 r7 5700x 8核16线 7 nm -- 1500元; ARM采用的是RISC精简指令集计算、 主要应用于移动终端之中,类如手机&#xff0c…

了解树和学习二叉树

1.树 1.1 概念 树是一种 非线性 的数据结构,它是由 n ( n>0 )个有限结点组成一个具有层次关系的集合。 把它叫做树是因为它看 起来像一棵倒挂的树,也就是说它是根朝上,而叶朝下的 。 注意:树形结构中…

Kafka核心参数(带完善)

客户端 api Kafka提供了以下两套客户端API HighLevel(重点)LowLevel HighLevel API封装了kafka的运行细节,使用起来比较简单,是企业开发过程中最常用的客户端API。 而LowLevel API则需要客户端自己管理Kafka的运行细节,Partition&#x…

Linux-Keepalived(VRRP协议)高可用集群搭建

Linux-Keepalived(VRRP协议)高可用集群搭建 一、VRRP简介1.1 什么是VRRP?1.2 keepalived是什么?1.3 keepalived工作原理 二、实操配置过程2.1 试验模型2.2. Keepalived监控和维护VRRP集群的步骤2.2.1 安装keepalived2.2.2 配置kee…

sql_lab之sqli中的head头注入,less18

报错注入中的head注入(less-18) 1.输入用户名和密码123 123显示登录错误 2.输入用户名和密码123’ 123显示登录错误 则证明不是普通报错注入,因为有用户名和密码框,如果不是普通报错注入则尝试head注入 3.用burp进行爆破&#x…

【已解决】在使用frp内网穿透访问VUE项目提示:Invalid Host/Origin header 解决方案

项目配置 在使用frp作为内网穿透的时候,配置了多端口穿透(也是第一次配置frp多端口),端口配置如下: 8079:vue项目的管理系统 8080:vue项目的前台系统 8082:普通的web项目 更高frp相关问题 &#x1f4…

4.3 C++对象模型和this指针

4.3 C对象模型和this指针 4.3.1 成员变量和成员函数分开存储 在C中&#xff0c;类内的成员变量和成员函数分开存储 只有非静态成员变量才属于类的对象上 #include <iostream>class Person { public:Person() {mA 0;} //非静态成员变量占对象空间int mA;//静态成员变量…

数据预处理:多重共线性_检测和解决办法

文章目录 1.多重共线性简介&#xff08;Collinearity and Multicollinearity&#xff09;1.1 多重共线性的后果1.2 处理多重共线性问题的方法 2. 设置2.1 导入库2.2 数据集特征波士顿房价BMI 数据集 2.3 导入数据 3. 相关矩阵3.1 聚类图 4. 方差膨胀因子4.1 两种多重共线性4.2 …

外卖托管运营专家邦火策划怎么样,为您的餐厅带来了什么不同?

在当今激烈竞争的餐饮市场&#xff0c;外卖托管运营正逐渐成为许多餐厅提升业绩的有效手段。邦火策划以其专业的服务和独特的策略&#xff0c;成为外卖托管运营领域的专家。让我们一同探究&#xff0c;选择邦火策划为您的餐厅带来了怎样的不同。 在邦火策划的引领下&#xff0…

【UML】第10篇 类图(属性、操作和接口)(2/3)

目录 3.3 类的属性&#xff08;Attribute&#xff09; 3.3.1 可见性&#xff08;Visibility&#xff09; 3.3.2 属性的名称 3.3.3 数据类型 3.3.4 初始值 3.3.5 属性字符串 3.4 类的操作&#xff08;Operations&#xff09; 3.4.1 参数表 3.4.2 返回类型 3.5 类的职责…

基于JavaWeb的个人健康信息管理系统论文

摘 要 现代经济快节奏发展以及不断完善升级的信息化技术&#xff0c;让传统数据信息的管理升级为软件存储&#xff0c;归纳&#xff0c;集中处理数据信息的管理方式。本个人健康信息管理系统就是在这样的大环境下诞生&#xff0c;其可以帮助管理者在短时间内处理完毕庞大的数据…

data数据响应式

data数据响应式 所有在实例上挂载的属性&#xff0c;都可以在视图中直接使用 data中的数据&#xff0c;是经过“数据劫持”的&#xff0c;是“响应式数据” 响应式&#xff1a;修改数据&#xff0c;视图会自动更新 MV原理&#xff1a;其中一条线的原理&#xff0c;data响应式的…

Nessus详细安装-windows (保姆级教程)

Nessus描述 Nessus 是一款广泛使用的网络漏洞扫描工具。它由 Tenable Network Security 公司开发&#xff0c;旨在帮助组织评估其计算机系统和网络的安全性。 Nessus 可以执行自动化的漏洞扫描&#xff0c;通过扫描目标系统、识别和评估可能存在的安全漏洞和弱点。它可以检测…

DRF从入门到精通三(反序列化数据校验源码分析、断言Assert、DRF之请求、响应)

文章目录 一、反序列化数据校验源码分析二、断言Assert三、DRF之请求、响应Request类和Response类请求中的Request 能够解析前端传入的编码格式响应中的Response能够响应的编码格式 一、反序列化数据校验源码分析 反序列化数据校验&#xff0c;校验顺序为&#xff1a;先校验字段…

Go后端开发 -- Golang的语言特性

Go后端开发 – Golang的语言特性 文章目录 Go后端开发 -- Golang的语言特性一、Golang的优势1.部署极其简单&#xff1a;2.静态语言3.语言层面的并发4.强大的标准库5.简单易学6.运行效率对比 二、Golang的适用领域1.应用领域2.明星产品 三、Golang的不足 一、Golang的优势 1.部…

共享购:消费前沿的领导者

在当今这个信息化、互联网高速发展的时代&#xff0c;商业模式也在不断地创新和变革。共享购模式作为一种新型的商业模式&#xff0c;正逐渐受到广泛的关注和追捧。本文将深入探讨共享购模式的核心理念、优势以及如何应用在实际商业场景中&#xff0c;为读者揭示这一模式的巨大…

计算机组成原理综合5

A 按照题意&#xff0c;程序P执行的时钟周期数为1000080%11000020%1028000&#xff0c;程序P的平均CPI为28000/100002.8&#xff0c;计算机主频为1GHz&#xff0c;CPU执行时间为28000/(1G/s)28μs。 B A 符号位为1 首先将0.4375转化为二进制&#xff0c;0.250.1250.06250.43…

nodejs+vue+ElementUi资源互助共享平台的设计

后台&#xff1a;管理员功能有个人中心&#xff0c;用户管理&#xff0c;卖家管理&#xff0c;咨询师管理&#xff0c;萌宝信息管理&#xff0c;幼儿知识管理&#xff0c;保姆推荐管理&#xff0c;音频资源管理&#xff0c;二手商品管理&#xff0c;商品分类管理&#xff0c;资…

推荐给前端开发的 5 款 Chrome 扩展

工欲善其事&#xff0c;必先利其器。Chrome 可能是前端开发中使用最多的浏览器。在日常开发中&#xff0c;下列几款 Chrome 扩展也许能让你的开发工作事半功倍 &#x1f680; Vue.js devtools ⚙️ vue 官方专为 vue 应用开发的调试工具。 通过使用它&#xff0c;你可以快速查看…