【FPGA/verilog -入门学习7】 条件判断if与分支判断case语句的语法介绍

需求 使用if 和case  产生格雷码

/
/*条件判断if与分支判断case语句的语法介绍
需求 使用if 和case  产生格雷码*/
/
`timescale 1ns/1ps
module vlg_design(input [3:0] i_data, output reg [3:0] o_data,output reg [3:0] o_datac);always @(*) begin
if (4'b0000 == i_data) o_data <= 4'b0000;
else if(4'b0001 == i_data) o_data <= 4'b0001;
else if(4'b0010 == i_data) o_data <= 4'b0011;
else if(4'b0011 == i_data) o_data <= 4'b0010;
else if(4'b0100 == i_data) o_data <= 4'b0110;
else if(4'b0101 == i_data) o_data <= 4'b0111;
else if(4'b0110 == i_data) o_data <= 4'b0101;
else if(4'b0111 == i_data) o_data <= 4'b0100;
else if(4'b1000 == i_data) o_data <= 4'b1100;
else if(4'b1001 == i_data) o_data <= 4'b1101;
else if(4'b1010 == i_data) o_data <= 4'b1111;
else if(4'b1011 == i_data) o_data <= 4'b1110;
else if(4'b1100 == i_data) o_data <= 4'b1010;
else if(4'b1101 == i_data) o_data <= 4'b1011;
else if(4'b1110 == i_data) o_data <= 4'b1001;
else if(4'b1111 == i_data) o_data <= 4'b1000;
else o_data <= 4'b0000;
endalways @(*) begin
case (i_data)4'b0000 :o_datac <= 4'b0000;4'b0001 :o_datac <= 4'b0001;4'b0010 :o_datac <= 4'b0011;4'b0011 :o_datac <= 4'b0010;4'b0100 :o_datac <= 4'b0110;4'b0101 :o_datac <= 4'b0111;4'b0110 :o_datac <= 4'b0101;4'b0111 :o_datac <= 4'b0100;4'b1000 :o_datac <= 4'b1100;4'b1001 :o_datac <= 4'b1101;4'b1010 :o_datac <= 4'b1111;4'b1011 :o_datac <= 4'b1110;4'b1100 :o_datac <= 4'b1010;4'b1101 :o_datac <= 4'b1011;4'b1110 :o_datac <= 4'b1001;4'b1111 :o_datac <= 4'b1000;default: o_datac <= 4'b0000;
endcase
endendmodule
`timescale 1ns/1ps
module testbench_top();//参数定义//接口申明
reg [3:0]i_data;wire[3:0]o_data;
wire[3:0]o_datac;
vlg_design        uut_vlg_design(.i_data(i_data),.o_data(o_data) .o_datac(o_datac) );    
integer i;
//时钟和复位初始化、复位产生 
initial beginfor(i = 0;i<16;i = i+1) begini_data <= i;#10;end
end
endmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/226565.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Ceph入门到精通-Ceph Pacific 版本磁盘预测模块

磁盘预测模块利用 Ceph 设备运行状况检查来收集磁盘运行状况指标&#xff0c;并使用内部预测器模块生成磁盘故障预测并返回到 Ceph。它不需要任何外部服务器进行数据分析和输出结果。其内部预测器的准确率约为70%。 1. 启用 运行以下命令以在 Ceph 环境中启用diskprediction_…

Go和Java实现代理模式

Go和Java实现代理模式 下面通过一个用户登录的例子来说明代理模式的使用。 1、代理模式 在代理模式中&#xff0c;一个类代表另一个类的功能。这种类型的设计模式属于结构型模式。 在代理模式中&#xff0c;我们创建具有现有对象的对象&#xff0c;以便向外界提供功能接口。…

MySQL数据库 入门

目录 一、MySQL概述 二、MySQL安装 安装数据库 配置数据库 启动停止数据库 客户端连接数据库 三、数据模型 四、SQL 一、MySQL概述 先来讲解三个概念&#xff1a;数据库、数据库管理系统、 SQL 。 而目前主流的关系型数据库管理系统的市场占有率排名如下&#xff1a; …

【从零开始学习--设计模式--代理模式】

返回首页 前言 感谢各位同学的关注与支持&#xff0c;我会一直更新此专题&#xff0c;竭尽所能整理出更为详细的内容分享给大家&#xff0c;但碍于时间及精力有限&#xff0c;代码分享较少&#xff0c;后续会把所有代码示例整理到github&#xff0c;敬请期待。 此章节介绍建…

(第38天)RAC 修改 IP 信息

介绍 在生产中有时候会遇到机房搬迁网络变更、系统上线由测试环境切换为生产环境、系统层面双网卡绑定或者解绑等情况。Oracle RAC 环境下应该如何操作?首先,我们都知道 Oracle RAC 中的 IP 主要有:Public IP、Virtual IP、SCAN IP、Private IP 这几种,接下来分别讲解这几…

C语言算法与数据结构,旅游景区地图求最短路径

背景&#xff1a; 本次作业要求完成一个编程项目。请虚构一张旅游景区地图&#xff0c;景区地图包括景点&#xff08;结点&#xff09;和道路&#xff08;边&#xff09;&#xff1a;地图上用字母标注出一些点&#xff0c;表示景点&#xff08;比如&#xff0c;以点 A、B、C、…

【matlab】

MATLAB 中的标量运算及实例 引言 在 MATLAB 中&#xff0c;标量是指只包含单个数值的变量或常量。尽管标量运算可能看似简单&#xff0c;但它在数值计算、数据处理和算法设计中扮演着重要的角色。本文将深入探讨 MATLAB 中的标量运算&#xff0c;介绍其基本操作和一些实例应用…

vue2使用wangeditor实现数学公式+富文本编辑器

需求&#xff1a; 做一个带有数学公式的富文本编辑器&#xff0c;在网上看了很多&#xff0c;这个最合适&#xff0c;借鉴了wangEditor富文本编辑器 这里面写的是v3的整合富文本编辑器&#xff0c;我照着上面改成了v2的&#xff0c;本文章主要是实现步骤和错误解决&#xff0c;…

【数据结构】单链表的定义和操作

目录 1.单链表的定义 2.单链表的创建和初始化 3.单链表的插入节点操作 4.单链表的删除节点操作 5.单链表的查找节点操作 6.单链表的更新节点操作 7.完整代码 &#x1f308;嗨&#xff01;我是Filotimo__&#x1f308;。很高兴与大家相识&#xff0c;希望我的博客能对你有所帮助…

2023-12-16:用go语言,给定整数数组arr,求删除任一元素后, 新数组中长度为k的子数组累加和的最大值。 来自字节。

2023-12-16&#xff1a;用go语言&#xff0c;给定整数数组arr&#xff0c;求删除任一元素后&#xff0c; 新数组中长度为k的子数组累加和的最大值。 来自字节。 答案2023-12-16&#xff1a; 来自左程云。 灵捷3.5 大体步骤如下&#xff1a; 算法 maxSum1 分析&#xff1…

网络时间服务器

本章主要介绍网络时间服务器。 使用chrony配置时间服务器 配置chrony客户端向服务器同步时间 1 时间同步的必要性 一些服务对时间要求非常严格&#xff0c;例如&#xff0c;图所示的由三台服务器搭建的ceph集群。 这三台服务器的时间必须保持一致&#xff0c;如果不一致&#…

杰卡德的故事

三个男人分别是杰卡德距离 杰卡德相似系数和杰卡德系数 杰卡德相似系数和杰卡德距离是互为相反数的。 杰卡德系数和杰卡德距离是不是一回事 感觉是一回事

DevOps搭建(二)-阿里云镜像仓库的使用详解

博主介绍&#xff1a;Java领域优质创作者,博客之星城市赛道TOP20、专注于前端流行技术框架、Java后端技术领域、项目实战运维以及GIS地理信息领域。 &#x1f345;文末获取源码下载地址&#x1f345; &#x1f447;&#x1f3fb; 精彩专栏推荐订阅&#x1f447;&#x1f3fb;…

NVIDIA A100 PCIE 40GB k8s-device-plugin install in kubernetes

文章目录 1. 目标2. 简介2.1 英伟达 A100 技术规格2.2 架构优势2.3 显卡跑分对比2.4 英伟达 A100 与 kubernetes 3. 安装 NVIDIA A100 GPU 40G 硬件4. NVIDIA R450 datacenter driver5. NVIDIA Container Toolkit6. 创建 runtimeclass5. MIG Strategies6. 配置仓库7. 下载镜像8…

Spring cloud - 断路器 Resilience4J

其实文章的标题应该叫 Resilience4J&#xff0c;而不是Spring Cloud Resilience4J&#xff0c;不过由于正在对Spring cloud的一系列组件进行学习&#xff0c;为了统一&#xff0c;就这样吧。 概念区分 首先区分几个概念 Spring cloud 断路器&#xff1a;Spring Cloud的官网对…

【Django-03】模型常用的增删改查

Django Model 增删改查函数 QuerySet 对象all()filter()get()exclude()values()distinct()支持的表达式组合使用创建数据更新数据删除数据F()函数Q()函数 class Grade(models.Model):id models.AutoField(verbose_name自增id, name"id", primary_keyTrue)grade_name…

02_Web开发基础之JavaScript

Web开发基础之JavaScript 学习目标和内容 1、能够描述Javascript的作用 2、能够使用分支结构if语句逻辑判断 3、能够使用其中一种循环语句 4、能够定义javaScript中的函数 5、能够定义javaScript中的对象 6、能够描述DOM的作用 7、能够通过DOM操作HTML标签元素及其属性 8、能够…

1.2 【应用开发】开发一个基本的Screen应用

一&#xff0c;Screen应用开发简述 QNX Screen图形子系统是一个图形框架&#xff0c;因此&#xff0c;使用该框架开发的应用程序在复杂性和功能上可能会有很大差异。也就是说&#xff0c;大多数Screen应用程序在简化后&#xff0c;会执行某种渲染&#xff0c;以便在显示器上显…

走进暄桐教室 一起观看暄桐同学作品及感受

暄桐是一间传统美学教育教室&#xff0c;创办于2011年&#xff0c;林曦是创办人和授课老师&#xff0c;教授以书法为主的传统文化和技艺&#xff0c;旨在以书法为起点&#xff0c;亲近中国传统之美&#xff0c;以实践和所得&#xff0c;滋养当下生活。其实&#xff0c;暄桐教室…

vue表单输入绑定

基础用法 你可以用 v-model 指令在表单 <input>、<textarea> 及 <select> 元素上创建双向数据绑定。它会根据控件类型自动选取正确的方法来更新元素。尽管有些神奇&#xff0c;但 v-model 本质上不过是语法糖。它负责监听用户的输入事件以更新数据&#xff0…