大象慧云:从设立分部到迁移总部 与贵阳贵安共筑税务数字化未来

近年来,贵阳贵安着力提升政务服务水平,通过擦亮“贵人服务”品牌,持续优化营商环境。在这样的环境下,再加上“大数据基因”,对于希望在大数据领域大展拳脚的企业来说,贵阳贵安无疑成为了一个极具吸引力的选择。

  “我们将在今年12月20日举办‘大象慧云贵阳总部揭牌仪式’,正式把总部搬到贵阳。”大象慧云信息技术有限公司(以下简称“大象慧云”)副总裁、贵州映象科技信息技术有限公司(以下简称“贵州映象科技”)董事长徐丰磊介绍到。

  2021年11月,在贵阳创投公司所属的贵州省创新赋能大数据基金的支持下,贵州映象科技在贵阳正式成立,该公司是大象慧云整合优势资源、统筹战略规划,在贵州成立的全资子公司。依托“大象智税”和“大象优税”两大产品体系,贵州映象科技结合税务申报机器人、大数据、云计算等信息技术手段,打造集发票查验、电子发票管理平台、增值税进项等全方位税务管理信息系统,运用税务大数据开发与应用、税务信息化业务及“互联网+智慧税务”“三位一体”的一站式服务模式,为企业提供安全、便捷的财税数字化产品与服务。

  徐丰磊介绍,贵州映象科技是大象慧云在全国成立的第一批子公司之一,已于2022年10月成为贵阳观山湖区规上企业。从成立至今年10月,贵州映象科技的累计营收已达8079.63万元,获得了“观山湖现代服务产业试验区‘六新’企业新模式代表企业”、“贵州省创新型中小企业”等荣誉称号。今年9月,由贵阳创投公司所属的贵州省创新赋能大数据投资基金和贵阳观山湖现代服务创投基金共同出资对大象慧云再次进行资本加持,大象慧云即将把总部迁址到贵阳。

  从第一批设立子公司到迁移总部,为何选择的是贵阳贵安?徐丰磊表示,一方面贵阳创投相关基金两次对大象慧云的资本加持,有助于公司进一步加大研发投入,提升产品和服务质量,拓展市场渠道以及加强团队建设等,更有信心做出成绩;另一方面,近些年贵州映象科技对贵阳强有力的政策支持和良好的营商环境深有感触,贵州映象科技在贵阳成立后,相关部门从办公场所的选择、办公桌椅布置等细节到政策的匹配上都给予了深度支持,深刻感受到了贵阳贵安这片土地的包容和温度。

  除此之外,贵阳贵安坚定不移地实施大数据战略行动,深入推进数字活市战略,先后获批国家级大数据产业发展集聚区、大数据产业技术创新试验区、国家大数据及网络安全示范试点城市、国家信息消费示范城市、国家区块链创新应用综合性试点等29项国家级试点示范,成为全国承载大数据领域试验、试点、示范最多的城市,大数据技术得到了广泛而深度的应用。而大象慧云和贵州映象科技作为税务数字化公司,与贵阳有着深度的“基因匹配”,有助于将贵阳贵安大数据和自身税务数字化技术的优势融合,开拓高价值数字化服务。

  徐丰磊谈到:“我们希望未来能为贵阳贵安数字经济添砖加瓦,也希望能有更多的合作伙伴在贵阳贵安投资兴业,形成一个为企业服务的生态圈。”他表示,未来大象慧云和贵州映象科技将与贵阳贵安进行更深入的合作,努力建设成为中国领先的税务数字化服务商。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/218283.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【ZYNQ】AXI4总线接口协议学习

建议翻看着底部的参考文档资料和本文一起辅助阅读 本文带你详细的了解AXI总线协议,并且基于官方手册,能够提高你的手册阅读能力。 什么是AXI AXI 的英文全称是 Advanced eXtensible Interface,即高级可扩展接口,它是 ARM 公司所提…

自激活T细胞为肿瘤免疫治疗提供新思路—高分文献分享

CD28是在所有小鼠和人类T细胞上表达的共刺激受体,用来调节T细胞受体(TCR) 的响应。异常的CD28 信号传导是癌症、自身免疫和病毒感染中T细胞功能障碍的决定性特征之一。早期的一些研究表明CD28对CD4 T细胞更重要,但也有越来越多的研究者发现其对CD8 T细胞…

HAT(CVPR 2023):Hybrid Attention Transformer for Image Restoration

HAT ​ 论文地址:HAT: Hybrid Attention Transformer for Image Restoration ​ 代码地址:XPixelGroup/HAT: CVPR2023 - Activating More Pixels in Image Super-Resolution Transformer 摘要 ​ 通过归因分析attribution analysis method - Local …

智能科技企业网站搭建的作用是什么

随着科学技术快速提升,各种智能产品随之而来,每个赛道里都涌入了大量企业商家,有些热门产品更是广受关注,对企业来说,形象、品牌、信息等方面需要完美呈现到用户眼前,而网站无疑是很好的工具。 企业通过【…

lwIP 细节之四:recv 回调函数是何时调用的

使用 lwIP 协议栈进行 TCP 裸机编程,其本质就是编写协议栈指定的各种回调函数。将你的应用逻辑封装成函数,注册到协议栈,在适当的时候,由协议栈自动调用,所以称为回调。 注:除非特别说明,以下内…

常用whl文件地址整理

文章目录 一、Deep Graph Library(DGL)二、torch torchvision torchaudio三、numpy四、pandas可留言其他whl文件地址,不定期更新 一、Deep Graph Library(DGL) DGL是一个专门用于深度学习图形的Python包, 一款面向图神…

代码随想录算法训练营第50天| 123.买卖股票的最佳时机III 188.买卖股票的最佳时机IV

JAVA代码编写 123.买卖股票的最佳时机III 给定一个数组,它的第 i 个元素是一支给定的股票在第 i 天的价格。 设计一个算法来计算你所能获取的最大利润。你最多可以完成 两笔 交易。 **注意:**你不能同时参与多笔交易(你必须在再次购买前出…

HDPE硅芯管材具有优异的耐腐蚀性、耐磨损性和耐老化性

HDPE硅芯管材作为一种优质的管道材料,具有许多突出的性能。其中,其优异的耐腐蚀性、耐磨损性和耐老化性是其主要特点之一。 首先,HDPE硅芯管材具有出色的耐腐蚀性。它的高密度聚乙烯(HDPE)材料具有良好的耐腐蚀性能&a…

2023快速上手新红利项目:短剧分销推广CPS

短剧分销推广CPS是一个新红利项目,对于新手小白来说也可以快速上手。 以下是一些建议,帮助新手小白更好地进行短剧分销推广CPS: 学习基础知识:了解短剧的基本概念、制作流程和推广方式。了解短剧的市场需求和受众群体&#xff0c…

STM32F030C8读取CS1237采集模拟

STM32F030C8读取CS1237采集模拟 Chapter1 【问题解决记录】STM32F030C8读取CS1237采集模拟问题描述原因分析:解决方案: Chapter2 CS1237 STM32控制程序以及原理图需要注意事项 Chapter1 【问题解决记录】STM32F030C8读取CS1237采集模拟 原文链接&#x…

【技术分享】常见VLAN部署方式

VLAN部署方式: 第一种End-to-End VLAN(端到端VLAN) 全局部署的VLAN,VLAN信息可以扩展到整个网络(换句话说就是每台交换机上VLAN信息一致) 将用户分组到与物理位置无关的VLAN中;如果用户在园区…

第7章:深度剖析知识图谱中的知识推理:方法与应用探究

💗💗💗欢迎来到我的博客,你将找到有关如何使用技术解决问题的文章,也会找到某个技术的学习路线。无论你是何种职业,我都希望我的博客对你有所帮助。最后不要忘记订阅我的博客以获取最新文章,也欢…

《算法通关村——回溯模板如何解决回溯问题》

《算法通关村——回溯模板如何解决回溯问题》 93. 复原 IP 地址 有效 IP 地址 正好由四个整数(每个整数位于 0 到 255 之间组成,且不能含有前导 0),整数之间用 . 分隔。 例如:"0.1.2.201" 和 "192.1…

【活动回顾】ABeam News | 兰州大学外国语学院回访ABeam 旗下德硕管理咨询(上海),持续推进远景合作

访企拓岗深入调研 持续推进远景合作 继11月上旬ABeam旗下艾宾信息技术开发(西安)团队一行拜访兰州大学并举行隆重的校企签约仪式后,近日兰州大学一行领导也如约莅临德硕管理咨询(上海)有限公司开展拓岗调研。 深化…

线上业务优化之案例实战

本文是我从业多年开发生涯中针对线上业务的处理经验总结而来,这些业务或多或少相信大家都遇到过,因此在这里分享给大家,大家也可以看看是不是遇到过类似场景。本文大纲如下, 后台上传文件 线上后台项目有一个消息推送的功能&#…

实物+3D动画展示离心式过滤器的工作原理 #雨水收集#雨水过滤

产品规格型号 规格型号:LLLXGL-100、LLLXGL-150、LLLXGL-200、LLLXGL-300

第一届古剑山ctf-pwn全部题解

1. choice 附件: https://github.com/chounana/ctf/blob/main/2023%E7%AC%AC%E4%B8%80%E5%B1%8A%E5%8F%A4%E5%89%91%E5%B1%B1pwn/choice.zip 漏洞代码: 漏洞成因: byte_804A04C输入的长度可以覆盖nbytes的值,导致后面输入时存…

RFID复习内容整理

第一章 日常生活中的RFID技术 身份证(高频) typeB13.56MHz 一卡通(高频) ISO/IEC 14443 typeA 图书馆门禁停车场门票ETC 微波段、超高频 服装快销品牌 物联网定义 最初的定义 将各种信息传感设备,如射频识别(RFID)…

会JSX没什么了不起,你了解过 StyleX 么?

近日,Meta开源了一款CSS-in-JS库 —— StyleX。看命名方式,Style - X是不是有点像JS - X,他们有关系么?当然有。 JSX是一种用JS描述HTML的语法规范,广泛应用于前端框架中(比如React、SolidJS...&#xff0…

公众号怎么提高2个限制

一般可以申请多少个公众号?许多用户在申请公众号时可能会遇到“公众号显示主体已达上限”的问题。这是因为在2018年11月16日对公众号申请数量进行了调整,具体调整如下:1、个人主体申请公众号数量上限从2个调整为1个。2、企业主体申请公众号数…