vivado产生报告阅读分析6-时序报告2

1、复查时序路径详情
单击“ OK ”运行报告命令后 将打开一个新窗口。这样您即可复查其中内容。在其中可查看执行选定的每种类型 (min/max/min_max ) 的分析之后所报告的 N 条最差路径。 下图显示的“Report Timing 时序报告 窗口中已选中最小和最大分析 SETUP HOLD ), N=4
选中其中任意路径即可在“ Path Properties 路径属性 窗口的“ Report 报告 选项卡下查看其详情。
2、Report Timing Summary
综合后即可在流程中随时执行时序分析。您可复查由综合和实现运行自动创建的“ Timing Summary 时序汇总 报告文件。 如果在存储器中已加载综合后设计或实现后设计, 那么还可通过以下方式生成交互式“ Timing Summary ”报告
• “ Flow Navigator ” → “ Synthesis Flow Navigator > 综合
• “ Flow Navigator ” → “ Implementation Flow Navigator > 实现
• “ Reports ” → “ Timing ” → “ Report Timing Summary 报告 > 时序 > 时序汇总报告
等效的 Tcl 命令为 report_timing_summary
在综合后设计中 AMD Vivado IDE 时序引擎会基于连接和扇出来估算信号线延迟。对于已由用户布局的单元之间的信号线, 延迟准确性更高。在包含部分预布局单元 例如 I/O GT 的路径上 时钟偏差可能更大。 在实现后设计中, 基于实际布线信息来估算信号线延迟。对于已完全布线的设计 必须使用“ Timing Summary ”报告来实现时序验收。要验证设计是否已完全布线, 请复查“ Route Status 布线状态 报告。 从 Tcl 控制台或从 GUI 运行此时序汇总报告时 可使用 -cells 选项将其限定于 1 个或多个层级单元。限定报告作用域后, 将仅报告含如下数据路径部分的路径 数据路径开始或结束于此类单元、与此类单元交汇或者完全包含于此类单元内。
Tcl 控制台运行时 此报告的第一部分提供了来自最新的 report_methodology 运行的方法论违例汇总信息。从GUI 运行 report_timing_summary 这部分名为“ Methodology Summary 方法论汇总 。如果运行report_timing_summary 之前尚未运行 report_methodology 则这部分为空。如果自从上一次 report_methodology 运行后已实现任意设计更改 那么违例汇总信息可能并未提供最新信息。
3、“ Report Timing Summary ”对话框
Vivado IDE Report Timing Summary 时序汇总报告 对话框包含以下选项卡
Options ”选项卡
Advanced ”选项卡
Timer Settings ”选项卡
位于“ Report Timing Summary ”对话框顶部的“ Results name 结果名称 字段用于指定在“ Results 结果 窗口中打开的图形化报告的名称。图形化版本的报告包含超链接, 支持您将来自报告的信号线和单元交叉引用至“Device 器件 和“ Schematic 板级原理图 窗口以及设计源文件。 如果该字段留空, 那么报告将返回 Tcl 控制台 且在“ Results ”窗口中不会打开图形化版本的报告。 等效的 Tcl 选项 -name
Options ”选项卡
Report Timing Summary 时序汇总报告 对话框中的“ Options 选项 选项卡如下图所示。
Report ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Report 报告 部分包含 以下内容:
• “ Path delay type 路径延迟类型
用于设置要运行的分析类型。对于综合后设计 默认情况下仅执行最大延迟分析 建立 / 恢复 。对于已实现的设计, 默认情况下 将执行最小和最大延迟分析 建立 / 保持和恢复 / 移除 。要仅运行最小延迟分析 保持和移除), 请选择延迟类型 min 。 等效的 Tcl 选项 -delay_type
• “ Report unconstrained paths 报告未约束路径
生成不含时序要求的路径的相关信息。默认情况下 Vivado IDE 中已选中该选项 但在等效的 Tcl 命令 report_timing_summary 中默认不开启该选项。等效的 Tcl 选项 -report_unconstrained
• “ Report datasheet 数据手册报告 ) 生成本章中的 Report Datasheet 中所定义的设计数据手册。 等效的 Tcl 选项 -datasheet
Path Limits ”部分
Report Timing Summary 时序汇总报告 对话框中“ Options 选项 选项卡的“ Path Limits 路径限制 部 分包括:
• “ Maximum number of paths per clock or path group 各时钟或路径组的最大路径数 ): 控制每个时钟对或每个路径组所报告的最大路径数。 等效的 Tcl 选项 -max_paths
• “ Maximum number of worst paths per endpoint 各端点的最差路径的最大数量 ): 控制每个路径端点可能报告的最大路径数。此限制受到每个时钟对或路径组的最大数量的限制。因此, 报告的路径总数仍受到 -max_paths 数量的限制。 等效的 Tcl 选项 -nworst
Path Display ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Path Display 路径显示 ) 部分包括:
• “ Display paths with slack less than 显示裕量小于指定值的路径 ): 基于路径的裕量值筛选报告的路径。此选项不影响汇总表的内容。 等效的 Tcl 选项 -slack_lesser_than
• “ Significant digits 有效位数 ): 控制报告中显示的数值的精确度。 等效的 Tcl 选项 -significant_digits
通用部分
以下控件为位于“ Report Timing Summary 时序汇总报告 对话框底部的全部 3 个选项卡通用的控件
• “ Command 命令 ): 显示等效于“ Report Timing Summary ”对话框中指定的各种选项的 Tcl 命令行。
• “ Open in a New Tab 在新选项卡中打开 ): 在新选项卡中打开结果 或替换“ Results 结果 窗口中打开的最后一个选项卡。
• “ Open in Timing Analysis layout 在时序分析布局中打开 ): 将当前视图布局复位为“ Timing Analysis 时序 分析) 视图布局。
Advanced ”选项卡
Report ”部分
• “ Report from cell 基于单元的报告 ): 启用该选项即可将时序报告限制在设计的特定单元上。报告将仅包含数据路径部分始于指定单元、止于该单元、跨该单元或完全包含于该单元内的路径。
等效的 Tcl 选项 -cells
• “ Show input pins in path 显示路径中的输入管脚 ): 显示用于路径的单元输入管脚。 等效的 Tcl 选项 -input_pins
• “ Report unique Pins 唯一管脚报告 ): 针对每一组唯一的管脚仅显示 1 条时序路径。
等效的 Tcl 选项 -unique_pins
File Output ”部分
• “ Write results to file 将结果写入文件 ): 将结果写入指定文件名。默认情况下 报告将写入 Vivado IDE 的 “Timing 时序 窗口。
等效的 Tcl 选项 -file
• “ Overwrite 覆盖 或“ Append 追加 ): 当报告写入文件时 2 个选项可用于确定 (1) 覆盖指定文件 , 还是 (2) 向现有报告追加新信息。
等效的 Tcl 选项 -append
• “ Interactive report file 交互式报告文件 ): 将结果以 AMD RPX 格式写入指定的文件中。 RPX 文件是一个包含所有报告信息的交互式报告, 可在 Vivado Design Suite 中使用 open_report 命令将其重新加载到存储器中。
Miscellaneous ”部分
• “ Ignore command errors 忽略命令错误 ): 以静默方式执行命令 忽略所有命令行错误 不返回任何消息。此命令还会返回 TCL_OK 忽略执行期间遇到的所有错误。 等效的 Tcl 选项 -quiet
• “ Suspend message limits during command execution 命令执行期间暂挂消息限制 ): 临时覆盖所有消息限制并返回所有消息。 等效的 Tcl 选项 -verbose
Timer Settings ”选项卡
如需执行定时器设置 请使用如下任一方法 (1) 任一 Vivado IDE 时序分析对话框 或者 (2) 本节中列出的任一 Tcl 命令。这些设置会影响同一 Vivado IDE 会话内运行的其他时序相关命令 但综合和实现命令除外。 定时器设置不保存为工具首选项。每个新会话都会复原默认值。请勿更改默认值。保留默认值将以最准确的延迟值来提供最大的时序分析覆盖范围。
Interconnect 设置
该选项用于控制信号线延迟计算方式 根据估算的叶节点单元管脚间布线距离来计算 还是根据实际布线的信号线来计算, 或者从时序分析中排除信号线延迟。对于综合后设计 该选项自动设置为“ Estimated 对于实现后设计 该选 项自动设置为“Actual ”。
• “ Estimated 估算 ): 对于未布局的单元 信号线延迟值对应于可能实现的最佳布局的延迟 基于驱动程序和负载的性质以及扇出来计算。在时序路径报告中, 未布局的叶节点单元管脚之间的信号线标记为未布局 (unplaced) 。 对于已布局的单元, 信号线延迟取决于驱动程序和负载之间的距离以及扇出。此信号线在时序路径报告中标记为estimated。
• “ Actual 实际 ): 对于已布线的信号线 信号线延迟对应于已布线的互连的实际硬件延迟。此信号线在时序路径报告中标记为 routed
• “ None ): 在时序报告中不考虑互连延迟 信号线延迟强制为 0 。 等效的 Tcl 命令 set_delay_model
Multi-Corner Configuration ”设置
指定要针对指定时序角分析的路径延迟类型。有效值包括 none max min min_max 。选择 none 为指定时序角禁用的时序分析。等效的 Tcl 命令:config_timing_corners
Disable Flight Delays
不将封装延迟添加到 I/O 延迟计算中。 等效的 Tcl 命令 config_timing_analysis

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/146390.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

竞赛选题 深度学习驾驶行为状态检测系统(疲劳 抽烟 喝水 玩手机) - opencv python

文章目录 1 前言1 课题背景2 相关技术2.1 Dlib人脸识别库2.2 疲劳检测算法2.3 YOLOV5算法 3 效果展示3.1 眨眼3.2 打哈欠3.3 使用手机检测3.4 抽烟检测3.5 喝水检测 4 最后 1 前言 🔥 优质竞赛项目系列,今天要分享的是 🚩 基于深度学习的驾…

初学编程学习,计算机编程怎么自学,中文编程工具下载

初学编程学习,计算机编程怎么自学,中文编程工具下载 给大家分享一款中文编程工具,零基础轻松学编程,不需英语基础,编程工具可下载。 这款工具不但可以连接部分硬件,而且可以开发大型的软件,象如…

RT-Thread STM32F407 PWM

为了展示PWM效果,这里用ADC来采集PWM输出通道的电平变化 第一步,进入RT-Thread Settings配置PWM驱动 第二步,进入board.h,打开PWM宏 第三步,进入STM32CubeMX,配置时钟及PWM 第四步,回到R…

一起学docker系列之五docker的常用命令--操作容器的命令

目录 前言1 启动容器2 查看容器3 退出容器4 启动已经停止的容器5 重启容器6 停止容器7 删除已经停止的容器8 启动容器说明和举例9 查看容器日志10 查看容器内运行的进程11 查看容器内部细节12 进入正在运行的容器并进行交互13 导入和导出容器结语 前言 当涉及到容器化技术&…

Python | 机器学习之SVM支持向量机

​🌈个人主页:Sarapines Programmer🔥 系列专栏:《人工智能奇遇记》🔖少年有梦不应止于心动,更要付诸行动。 目录结构 1. 机器学习之SVM支持向量机概念 1.1 机器学习 1.2 SVM支持向量机 2. SVM支持向量机…

合并word中参考文献-(Endnote生成)

合并word中的 两部分的参考文献引用 Merge Citations in the Word document Original: A is a big character [78-80] and B is another one [81-85] Modified: A and B are big characters [78-85] Solutions: Remove the space betwee…

AWD比赛中的一些防护思路技巧

## 思路1: 1、改服务器密码 (1)linux:passwd (2)如果是root删除可登录用户:cat /etc/passwd | grep bash userdel -r 用户名 (3)mysql:update mysql.user set…

ROS服务(Service)通信:通信模型、Hello World与拓展

服务通讯是基于请求响应模式的,是一种应答机制。 用于偶然的、对时时性有要求、有一定逻辑处理需求的数据传输场景。 一、服务通讯模型 服务是一种双向通讯方式,它通过请求和应答的方式传递消息,该模型涉及到三个角色: Master…

vscode中Chinese (Simplified)汉化无效解决方法

问题复现 之前已经下载了 Chinese (Simplified)插件并启用了,都是正常的中文简体。有时候打开vscode的时候,会发现汉化失效了,如图: 解决方法 依次点击 扩展(Extensions)— Chinese (Simplified) — 选…

【案例】可视化大屏

人狠话不多,直接上效果图 这里放的地图自己去实现吧,如果也想实现3D地球话,等笔者那天有心情写篇文章; 说明:script中methods部分代码是没用,可以直接删掉,根据个人情况去写, 内容:笔者也就对页面布局进行了设计,内容的填充就靠个人了 <template><div :sty…

三十分钟学会zookeeper

zookeeper 一、前提知识 集群与分布式 ​ 集群&#xff1a;将一个任务部署在多个服务器&#xff0c;每个服务器都能独立完成该任务。 ​ 分布式&#xff1a;将一个任务拆分成若干个子任务&#xff0c;由若干个服务器分别完成这些子任务&#xff0c;每个服务器只能完成某个特…

Python代码运行速度提升技巧!Python远比你想象中的快~

文章目录 前言一、使用内置函数二、字符串连接 VS join()三、创建列表和字典的方式四、使用 f-Strings五、使用Comprehensions六、附录- Python中的内置函数总结关于Python技术储备一、Python所有方向的学习路线二、Python基础学习视频三、精品Python学习书籍四、Python工具包项…

Android Studio Error “Unsupported class file major version 61“---异常信息记录

编译时异常信息 原因及解决办法 问题出在JAVA 17上&#xff0c;并且使用的Gradle JDK是&#xff1a;Android Studio java home版本17.0.1将其更改为&#xff1a;Android Studio默认JDK版本11.0.10 即可解决 操作步骤 1 2 3

pycharm/vscode 配置black和isort

Pycharm blackd Pycharm中有插件可以实现后台服务运行black&#xff1a;BlackConnect 安装 在python中安装blackd 配置 Pycharm isort pycharm中&#xff0c;isort没有插件&#xff0c;暂使用外部工具实现&#xff0c;外部工具也可添加快捷键实现快捷对文件、文件夹进行fo…

代码执行相关函数以及简单例题

代码/命令 执行系列 相关函数 &#xff08;代码注入&#xff09;

Boolean源码解剖学

原创/朱季谦 有天突发其想&#xff0c;想看一下Boolean底层都做了些什么&#xff0c;故而去看了一番Boolean的源码&#xff0c;基于一些思考的基础上&#xff0c;输出了这篇文章。 一.类继承 Boolean的源码类定义部分如下&#xff1a; 1 public final class Boolean implemen…

C#,数值计算——插值和外推,双线性插值(Bilin_interp)的计算方法与源程序

1 文本格式 using System; namespace Legalsoft.Truffer { /// <summary> /// 双线性插值 /// interpolation routines for two dimensions /// Object for bilinear interpolation on a matrix. /// Construct with a vector of x1. /// value…

sqlite与mysql的差异

差异点 安装过程&#xff1a;MySQL服务器通常需要单独安装&#xff0c;这涉及下载适用于特定操作系统的MySQL安装程序&#xff0c;运行安装程序并按照指示完成安装过程。SQLite作为嵌入式数据库&#xff0c;可以直接使用其库文件&#xff0c;不需要单独的安装过程。 配置和管理…

Leetcode刷题详解——不同路径

1. 题目链接&#xff1a;62. 不同路径 2. 题目描述&#xff1a; 一个机器人位于一个 m x n 网格的左上角 &#xff08;起始点在下图中标记为 “Start” &#xff09;。 机器人每次只能向下或者向右移动一步。机器人试图达到网格的右下角&#xff08;在下图中标记为 “Finish”…

Vue前端添加水印功能

文章目录 概要技术细节附上几张调整的结果图 概要 前端Vue在页面添加水印&#xff0c;且不影响页面其他功能使用&#xff0c;初级代码水准即可使用&#xff0c;且有防人修改或者删除功能&#xff01; 提示&#xff1a;适用于Vue&#xff0c;组件已经封装开箱即用&#xff0c;有…