STM32F103C8T6第二天:按键点灯轮询法和中断法、RCC、电动车报警器(振动传感器、继电器、喇叭、433M无线接收发射模块)

1. 点亮LED灯详解(307.11)

  • 标号一样的导线在物理上是连接在一起的。
    在这里插入图片描述
    在这里插入图片描述
  • 将 PB8 或 PB9 拉低,就可以实现将对应的 LED 灯点亮。
  • 常用的GPIO HAL库函数:
void HAL_GPIO_Init(GPIO_TypeDef *GPIOx, GPIO_InitTypeDef *GPIO_Init);//I/O口的初始化配置
void HAL_GPIO_WritePin(GPIO_TypeDef *GPIOx, uint16_t GPIO_Pin, GPIO_PinState
PinState);//对I/O口写高写低
void HAL_GPIO_TogglePin(GPIO_TypeDef *GPIOx, uint16_t GPIO_Pin);//翻转I/O口的状态
__HAL_RCC_GPIOA_CLK_ENABLE();
__HAL_RCC_GPIOB_CLK_ENABLE();//时钟:使GPIOB能工作,节约能耗,资源最大化的利用
  • 结构体 GPIO_InitTypeDef 定义:
typedef struct
{
uint32_t Pin;//引脚编号
uint32_t Mode;//输入|推挽输出|开漏输出
uint32_t Pull;//上拉|下拉|不拉
uint32_t Speed;//低速|中速|高速
} GPIO_InitTypeDef;

2. 按键点亮LED灯(轮询法)(308.12)

  • 输入(按键):
    • KEY1:PA0
    • KEY2:PA1
  • 输出(LED灯):
    • LED1:PB8
    • LED2:PB9
      在这里插入图片描述
  • 代码(key_test/MDK-ARM)
//main.c
/* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM */
#define KEY_ON  0
#define KEY_OFF 1
/* USER CODE END PM */
uint8_t Key_Scan(GPIO_TypeDef *GPIOx, uint16_t GPIO_Pin)
{//检测按键的状态if(HAL_GPIO_ReadPin(GPIOx, GPIO_Pin) == GPIO_PIN_RESET){	/* 按键按下 */while(HAL_GPIO_ReadPin(GPIOx, GPIO_Pin) == GPIO_PIN_RESET);//消除按键抖动(防抖操作)return KEY_ON;}else{	/* 按键松开 */return KEY_OFF;}
}
int main(void)
{HAL_Init();SystemClock_Config();MX_GPIO_Init();while (1){//按下key时,翻转led的状态/* USER CODE END WHILE *///HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8|GPIO_PIN_9, GPIO_PIN_RESET);/* USER CODE BEGIN 3 */if(Key_Scan(GPIOA, GPIO_PIN_0) == KEY_ON)HAL_GPIO_TogglePin(GPIOB, GPIO_PIN_8);if(Key_Scan(GPIOA, GPIO_PIN_1) == KEY_ON)HAL_GPIO_TogglePin(GPIOB, GPIO_PIN_9);}
}

3. 复位和时钟控制(RCC)(309.13)

  • reset and clock control

复位

  • 系统复位
    当发生以下任一事件时,产生一个系统复位:
      1. NRST引脚上的低电平(外部复位)
      2. 窗口看门狗计数终止(WWDG复位)
      3. 独立看门狗计数终止(IWDG复位)
      4. 软件复位(SW复位)
      5. 低功耗管理复位
  • 电源复位
    当以下事件中之一发生时,产生电源复位:
      1. 上电/掉电复位(POR/PDR复位)
      2. 从待机模式中返回
  • 备份区复位
    备份区域拥有两个专门的复位,它们只影响备份区域。
    当以下事件中之一发生时,产生备份区域复位。
      1. 软件复位,备份区域复位可由设置备份域控制寄存器 (RCC_BDCR)(见6.3.9节)中的BDRST位
        产生。
      2. 在VDD和VBAT两者掉电的前提下,VDD或VBAT上电将引发备份区域复位。

时钟控制

  • 什么是时钟?
    时钟打开,对应的设备才会工作。
  • 时钟来源
    • 三种不同的时钟源可被用来驱动系统时钟(SYSCLK)
      • HSI振荡器时钟(高速内部时钟)
      • HSE振荡器时钟(高速外部时钟)
      • PLL时钟(锁相环倍频时钟)
    • 二级时钟源:
      • 40kHz低速内部RC(LSIRC)振荡器
      • 32.768kHz低速外部晶体(LSE晶体)
  • 如何使用CubeMX配置时钟
    在这里插入图片描述
    在这里插入图片描述

在这里插入图片描述

4. 中断相关概念(310.14)

什么是中断?

  • 中断是指计算机运行过程中,出现某些意外情况需主机干预时,机器能自动停止正在运行的程序并转入
    处理新情况的程序,处理完毕后又返回原被暂停的程序继续运行。

什么是 EXTI?

  • 外部中断/事件控制器 (EXTI) 管理了控制器的 23 个中断/事件线。每个中断/事件线都对应有一个边沿检测
    器,可以实现输入信号的上升沿检测和下降沿的检测。 EXTI 可以实现对每个中断/事件线进行单独配置,可
    以单独配置为中断或者事件,以及触发事件的属性。
    在这里插入图片描述
  • EXTI 可分为两大部分功能,一个是产生中断,另一个是产生事件,这两个功能从硬件上就有所不同。
    产生中断线路目的是把输入信号输入到 NVIC,进一步会运行中断服务函数,实现功能,这样是软件级的。而
    产生事件线路目的就是传输一个脉冲信号给其他外设使用,并且是电路级别的信号传输,属于硬件级的。
  • EXTI初始化结构体:
typedef struct
{//中断/事件线uint32_t EXTI_Line;        /*!< Specifies the EXTI lines to be enabled or
disabled.This parameter can be any combination value
of @ref EXTI_Lines *///EXTI 模式EXTIMode_TypeDef EXTI_Mode;    /*!< Specifies the mode for the EXTI lines.This parameter can be a value of @ref
EXTIMode_TypeDef *///触发类型EXTITrigger_TypeDef EXTI_Trigger; /*!< Specifies the trigger signal active edge
for the EXTI lines.This parameter can be a value of @ref
EXTITrigger_TypeDef *///EXTI 控制FunctionalState EXTI_LineCmd;   /*!< Specifies the new state of the selected
EXTI lines.This parameter can be set either to ENABLE
or DISABLE */
}EXTI_InitTypeDef;
  • 中断/事件线:
#define EXTI_Line0    ((uint32_t)0x00001)   /*!< External interrupt line 0 */
#define EXTI_Line1    ((uint32_t)0x00002)   /*!< External interrupt line 1 */
#define EXTI_Line2    ((uint32_t)0x00004)   /*!< External interrupt line 2 */
#define EXTI_Line3    ((uint32_t)0x00008)   /*!< External interrupt line 3 */
#define EXTI_Line4    ((uint32_t)0x00010)   /*!< External interrupt line 4 */
#define EXTI_Line5    ((uint32_t)0x00020)   /*!< External interrupt line 5 */
#define EXTI_Line6    ((uint32_t)0x00040)   /*!< External interrupt line 6 */
#define EXTI_Line7    ((uint32_t)0x00080)   /*!< External interrupt line 7 */
#define EXTI_Line8    ((uint32_t)0x00100)   /*!< External interrupt line 8 */
#define EXTI_Line9    ((uint32_t)0x00200)   /*!< External interrupt line 9 */
#define EXTI_Line10   ((uint32_t)0x00400)   /*!< External interrupt line 10 */
#define EXTI_Line11   ((uint32_t)0x00800)   /*!< External interrupt line 11 */
#define EXTI_Line12   ((uint32_t)0x01000)   /*!< External interrupt line 12 */
#define EXTI_Line13   ((uint32_t)0x02000)   /*!< External interrupt line 13 */
#define EXTI_Line14   ((uint32_t)0x04000)   /*!< External interrupt line 14 */
#define EXTI_Line15   ((uint32_t)0x08000)   /*!< External interrupt line 15 */
#define EXTI_Line16   ((uint32_t)0x10000)   /*!< External interrupt line 16
Connected to the PVD Output */
#define EXTI_Line17   ((uint32_t)0x20000)   /*!< External interrupt line 17
Connected to the RTC Alarm event */
#define EXTI_Line18   ((uint32_t)0x40000)   /*!< External interrupt line 18
Connected to the USB OTG FS Wakeup from suspend event */              
#define EXTI_Line19   ((uint32_t)0x80000)   /*!< External interrupt line 19
Connected to the Ethernet Wakeup event */
#define EXTI_Line20   ((uint32_t)0x00100000)  /*!< External interrupt line 20
Connected to the USB OTG HS (configured in FS) Wakeup event */
#define EXTI_Line21   ((uint32_t)0x00200000)  /*!< External interrupt line 21
Connected to the RTC Tamper and Time Stamp events */                
#define EXTI_Line22   ((uint32_t)0x00400000)  /*!< External interrupt line 22
Connected to the RTC Wakeup event */
  • EXTI 模式:
typedef enum
{EXTI_Mode_Interrupt = 0x00,  //产生中断EXTI_Mode_Event = 0x04    //产生事件
}EXTIMode_TypeDef;
  • 触发类型:
typedef enum
{EXTI_Trigger_Rising = 0x08,     //上升沿EXTI_Trigger_Falling = 0x0C,     //下降沿EXTI_Trigger_Rising_Falling = 0x10  //上升沿和下降沿都触发
}EXTITrigger_TypeDef;
  • EXTI 控制:
    使能 EXTI ,一般都是使能, ENABLE

什么是优先级?

抢占优先级和响应优先级的区别:

  • 高优先级的抢占优先级是可以打断正在进行的低抢占优先级中断的。
  • 抢占优先级相同的中断,高响应优先级不可以打断低响应优先级的中断。
  • 抢占优先级相同的中断,当两个中断同时发生的情况下,哪个响应优先级高,哪个先执行。
  • 如果两个中断的抢占优先级和响应优先级都是一样的话,则看哪个中断先发生就先执行

什么是优先级分组?

Cortex-M3 允许具有较少中断源时使用较少的寄存器位指定中断源的优先级,因此 STM32 把指定中断优先级的寄存器位减少到 4 位,这 4 个寄存器位的分组方式如下:

  • 第0组:所有4位用于指定响应优先级
  • 第1组:最高1位用于指定抢占式优先级,最低3位用于指定响应优先级
  • 第2组:最高2位用于指定抢占式优先级,最低2位用于指定响应优先级
  • 第3组:最高3位用于指定抢占式优先级,最低1位用于指定响应优先级
  • 第4组:所有4位用于指定抢占式优先级

什么是NVIC?

STM32 通过中断控制器 NVIC(Nested Vectored Interrupt Controller)进行中断的管理 。NVIC 是属于 Cortex 内核的器件,不可屏蔽中断(NMI)和外部中断都由它来处理,但是 SYSTICK 不是由 NVIC 控制的。

typedef struct
{uint8_t NVIC_IRQChannel;uint8_t NVIC_IRQChannelPreemptionPriority;  //抢断优先级uint8_t NVIC_IRQChannelSubPriority;  //响应优先级   FunctionalState NVIC_IRQChannelCmd;   
} NVIC_InitTypeDef;

什么是中断向量表?

  • 每个中断源都有对应的处理程序,这个处理程序称为中断服务程序,其入口地址称为中断向量。所有中
    断的中断服务程序入口地址构成一个表,称为中断向量表;也有的机器把中断服务程序入口的跳转指令构成
    一张表,称为中断向量跳转表。

5. 按键点亮LED灯(中断法)(311.15)

  1. 配置时钟
    在这里插入图片描述
    在这里插入图片描述
  2. 配置GPIO口
    在这里插入图片描述
  3. 使能中断
    在这里插入图片描述
  • 代码(4.exti_test/MDK-ARM)
void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin)//中断服务函数
{switch(GPIO_Pin){HAL_Delay(50);//为了消抖case GPIO_PIN_0:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_0) == GPIO_PIN_RESET)//消抖:如果下降沿之后的50ms还是低(消除意外抖动带来的低电平情况)HAL_GPIO_TogglePin(GPIOB, GPIO_PIN_8);//翻转LED灯状态break;case GPIO_PIN_1:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_1) == GPIO_PIN_RESET)HAL_GPIO_TogglePin(GPIOB, GPIO_PIN_9);break;}
}

6. 电动车报警器项目概述(312.16)

项目需求

  • 点击遥控器 A 按键,系统进入警戒模式,一旦检测到震动(小偷偷车),则喇叭发出声响报警,吓退小偷。
  • 点击遥控器 B 按键,系统退出警戒模式,再怎么摇晃系统都不会报警,否则系统一直发出尖叫,让车主尴
    尬。

项目框图

在这里插入图片描述

硬件清单

  • 振动传感器
  • 继电器
  • 高功率喇叭
  • 433M无线接收发射模块
  • 杜邦线

7. 振动传感器介绍及实战(313.17)

振动传感器介绍

  • 单片机供电VCC GND接单片机
  • 产品不震动,输出高电平,模块上的DO口
  • 产品震动,输出低电平,绿色指示灯亮
  • AO口不用
    在这里插入图片描述

编程实现

  • 需求:当振动传感器接收到振动信号时,使用中断方式点亮LED1。
  • CubeMX 的必要配置
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  • 代码(5.alert_project/MDK-ARM)
//重写中断服务函数,如果检测到EXTI中断请求,则进入此函数
void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin)
{//一根中断线上接有多个中断源,判断中断源是否来自PA4if(GPIO_Pin == GPIO_PIN_4){//如果检测到PA4被拉低if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_4) == GPIO_PIN_RESET){//则点亮LED1HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_RESET);HAL_Delay(1000);HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_SET);}else{//如果未检测到PA4被拉低,则关闭LED1HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_SET);}}
}
  • 如果直接在中断服务函数里调用 HAL_Delay 函数,则会造成系统卡死。
    • 原因:程序初始化时默认把滴答定时器的中断优先级设为最低,其它中断源很容易打断它导致卡死。
    • 解决:在 main 函数里使用以下函数提高滴答定时器的中断优先级(提升至0):
      HAL_NVIC_SetPriority(SysTick_IRQn,0,0);
    • 并且将 EXTI4 的中断优先级设置比滴答定时器的中断优先级高,比如 2 。
      在这里插入图片描述

8. 继电器介绍及实战(314.18)

继电器工作原理

  • 单片机供电VCC GND接单片机,VCC需要接3.3V,5V不行!
  • 最大负载电路交流250V/10A,直流30V/10A
  • 引脚 IN 接收到低电平时开关闭合。(PB8,同时led1亮)
  • 电源的负极—负载的负极
  • 电源的正极、负载的正极分别接到继电器的 com 和 NO 口(可反)
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

9. 433M无线发射接收模块介绍及实战(315.19)

433M无线发射接收模块介绍

  • 单片机供电VCC GND接单片机
  • 接收到信号,接收模块对应针脚输出高电平
  • 有D0 D1 D2 D3,对应遥控器的ABCD在这里插入图片描述

编程实现

  • 需求:按下遥控器A按键,LED1亮1秒;按下遥控器B按键,LED2亮1秒。
    • D0 – PA5
    • D1 – PA6
  • 修改 cubemx 工程配置:
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  • 代码(5.alert_project/MDK-ARM)
//重写中断服务函数,如果检测到EXTI中断请求,则进入此函数
void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin)
{switch(GPIO_Pin){	case GPIO_PIN_5:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_5) ==  GPIO_PIN_SET){// 如果检测到PA5被拉高(即按键A被按下)// 则将PB8拉低,LED1亮1秒HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_RESET);HAL_Delay(1000);HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_SET);}else{// 未检测到PA5被拉高,则LED1灭HAL_GPIO_WritePin(GPIOB, GPIO_PIN_8, GPIO_PIN_SET);}break;case GPIO_PIN_6:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_6) ==  GPIO_PIN_SET){// 如果检测到PA6被拉高(按键B被按下)// 则将PB9拉低,LED2亮1秒HAL_GPIO_WritePin(GPIOB, GPIO_PIN_9, GPIO_PIN_RESET);HAL_Delay(1000);HAL_GPIO_WritePin(GPIOB, GPIO_PIN_9, GPIO_PIN_SET);}else{// 未检测到PA5被拉高,则LED1灭HAL_GPIO_WritePin(GPIOB, GPIO_PIN_9, GPIO_PIN_SET);}break;}
}

10. 电动车报警器项目设计及(316.20)

项目设计

//如果检测到PA4被拉低(小偷偷车),并且警报模式打开//则将PB7拉低,继电器通电,喇叭一直响
// 如果检测到PA5被拉高(按键A按下),设定为开启警报模式// 则将PB7拉低(喇叭响),2秒后恢复电平(喇叭不响),表示进入警报模式// 同时将标志位设置为ON
// 如果检测到PA6被拉高(按键B按下),设定为关闭警报模式// 则将PB7拉低(喇叭响),1秒后恢复电平(喇叭不响),表示关闭警报模式// 同时将标志位设置为OFF

编程实现

  • cubemx工程修改
    在这里插入图片描述
  • 代码(5.alert_project/MDK-ARM)
/* Private macro -------------------------------------------------------------*/
/* USER CODE BEGIN PM */
#define J_ON  1
#define J_OFF 2
/* USER CODE END PM */
//重写中断服务函数,如果检测到EXTI中断请求,则进入此函数
void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin)
{static int mark = J_OFF;//只在首次初始化时分配内存,且在函数调用之间保留其值switch(GPIO_Pin){// 如果检测到PA4被拉低(发生振动 即小偷偷车),并且警报模式打开case GPIO_PIN_4:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_4) ==  GPIO_PIN_RESET && mark == J_ON){// 则将PB7拉低,继电器通电,喇叭一直响HAL_GPIO_WritePin(GPIOB, GPIO_PIN_7, GPIO_PIN_RESET);}break;// 如果检测到PA5被拉高(按键A被按下),设定为开启警报模式case GPIO_PIN_5:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_5) ==  GPIO_PIN_SET){// 则将PB7拉低(喇叭响),2秒,表示进入到警报模式HAL_GPIO_WritePin(GPIOB, GPIO_PIN_7, GPIO_PIN_RESET);HAL_Delay(2000);HAL_GPIO_WritePin(GPIOB, GPIO_PIN_7, GPIO_PIN_SET);// 同时将标示位设置为ONmark = J_ON;}break;// 如果检测到PA6被拉高(按键B被按下),设定为关闭警报模式case GPIO_PIN_6:if(HAL_GPIO_ReadPin(GPIOA, GPIO_PIN_6) ==  GPIO_PIN_SET){// 则将PB7拉低(喇叭响),1秒,表示关闭警报模式HAL_GPIO_WritePin(GPIOB, GPIO_PIN_7, GPIO_PIN_RESET);HAL_Delay(1000);HAL_GPIO_WritePin(GPIOB, GPIO_PIN_7, GPIO_PIN_SET);// 同时将标示位设置为OFFmark = J_OFF;}}
}

在这里插入图片描述
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/130728.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

产品经理入门学习(二):产品经理问题思考维度

参考引用 黑马-产品经理入门基础课程 1. 抓住核心用户 1.1 为什么要抓住核心用户 什么是用户&#xff1f; 所有和产品有关系的群体就是用户&#xff0c;他们是一群既有共性&#xff0c;又有差异的群体组合 做产品为什么要了解用户&#xff1f; 了解用户的付费点、更好的优化产…

【软考中级】软件设计师-下午题

下午题 试题一 黑洞&#xff1a;加工有输入无输出 白洞(奇迹)&#xff1a;加工有输出无输入 灰洞&#xff1a;数据流输入的加工不足以产生输出 结构化语言&#xff1a; IF *** THEN ELSE IF *** THEN ******* END IF END IF 数据流的父子图平衡&#xff0c;如果父子图平衡就不…

【CIO人物展】黄淮学院副CIO周鹏:构建数智化平台赋能学校高质量发展

周鹏 本文由黄淮学院副CIO周鹏投递并参与《2023中国数智化转型升级优秀CIO》榜单/奖项评选。丨推荐企业—锐捷网络 大数据产业创新服务媒体 ——聚焦数据 改变商业 黄淮学院是2004年经教育部批准成立的一所省属全日制普通本科高校。学校位于素有“豫州之腹地、天下之最中”之美…

地理信息系统原理-空间数据结构(7)

​四叉树编码 1.四叉树编码定义 四叉树数据结构是一种对栅格数据的压缩编码方法&#xff0c;其基本思想是将一幅栅格数据层或图像等分为四部分&#xff0c;逐块检查其格网属性值&#xff08;或灰度&#xff09;&#xff1b;如果某个子区的所有格网值都具有相同的值&#xff0…

Linux----------------Shell重定向输入输出

&#xff08;一&#xff09; 标准输入 以键盘读取用户输入的数据&#xff0c;然后再把数据拿到 Shel程序中使用。 标准输出 Shell 程序产生的数据&#xff0c;这些数据一般都是呈现到显示器上供用户浏览查看 输入输出重定向 输入方向就是数据从哪里流向程序。数据默认从键…

【使用Python编写游戏辅助工具】第五篇:打造交互式游戏工具界面:PySide6/PyQT高效构建GUI工具

前言 这里是【使用Python编写游戏辅助工具】的第五篇&#xff1a;打造交互式游戏工具界面&#xff1a;PySide6/PyQT高效构建GUI工具。本文主要介绍使用PySide6来实现构建GUI工具。 在前面&#xff0c;我们实现了两个实用的游戏辅助功能&#xff1a; 由键盘监听事件触发的鼠标连…

IntelliJ IDEA 2023 最新版如何试用?IntelliJ IDEA 2023最新版试用方法及验证ja-netfilter配置成功提示

&#x1f337;&#x1f341; 博主猫头虎 带您 Go to New World.✨&#x1f341; &#x1f984; 博客首页——猫头虎的博客&#x1f390; &#x1f433;《面试题大全专栏》 文章图文并茂&#x1f995;生动形象&#x1f996;简单易学&#xff01;欢迎大家来踩踩~&#x1f33a; &a…

[极客大挑战 2019]Http 1

题目环境&#xff1a; 看起来挺花里胡哨的 F12查看源代码寻找隐藏文件 这是啥子呀&#xff0c;果然防不胜防 点击隐藏文件Secret.php 它不是来自这个地址的请求 报头&#xff1a;https://Sycsecret.buuoj.cn 需要抓包&#xff0c;在抓包前了解部分数据包参数 GET:到 Host:来自 …

ElementUI 自定义 Tree 树形控件背景

在 template 中 <div class"container"><el-tree :data"treeList" :props"defaultProps" accordion node-click"handleNodeClick" /> </div> 在 script 中 treeList: [{ id: "-1", label: "区域选…

由于flutter_app依赖于flutter_swiper>=0.0.2,不支持零安全,版本解决失败。

参考 dart3.0使用flutter_swiper报错记录 flutter_swiper package - All Versions从官网的信息可以看到 Dart3版本不兼容 最小兼容的Dart SDK版本需要2.0 Flutter SDK 版本列表Flutter SDK 版本列表 - Flutter 中文文档 - Flutter 中文开发者网站 - Flutter 说明&#xff1a;因…

算法:Java构建二叉树并递归实现二叉树的前序、中序、后序遍历

先自定义一下二叉树的类&#xff1a; // Definition for a binary tree node. public class TreeNode {int val;TreeNode left;TreeNode right;TreeNode() {}TreeNode(int val) { this.val val; }TreeNode(int val, TreeNode left, TreeNode right) {this.val val;this.left…

对Java的多线程的理解

说说对Java线程的理解 下面是AI的回答 Java线程就是Java程序里面可以同时运行多个任务。Java提供了几种创建和管理线程的方式&#xff0c;其中一种是继承Thread类&#xff0c;另一种是实现Runnable接口或Callable接口。jdk5提供了线程池&#xff0c;可以更方便地创建、启动和终…

理解springboot那些过滤器与调用链、包装或封装、设计模式相关等命名规范,就可以读懂80%的springboot源代码,和其他Java框架代码

紧接上面《 理解springboot那些注册与回调、监控与统计等命名规范,就可以读懂70%的springboot源代码》、《 理解springboot那些约定俗成的框架类名、全局context等命名规范,就可以读懂一半springboot的源代码》2篇文章,此片将汇总springboot那些过滤器与调用链、包装或封装…

【多线程】龟兔赛跑

package org.example;public class Race implements Runnable {//胜利者private static String winner;Overridepublic void run() {for(int i0;i<100;i){boolean flag gameOver(i);//如果flag>100,结束比赛if(flag){break;}System.out.println(Thread.currentThread().g…

Adobe After Effects 2024(Ae2024)在新版本中的升级有哪些?

After Effects 2024是Adobe公司推出的一款视频处理软件&#xff0c;它适用于从事设计和视频特技的机构&#xff0c;包括电视台、动画制作公司、个人后期制作工作室以及多媒体工作室。通过After Effects&#xff0c;用户可以高效且精确地创建无数种引人注目的动态图形和震撼人心…

DAY43 完全背包理论基础 + 518.零钱兑换II

完全背包 有N件物品和一个最多能背重量为W的背包。第i件物品的重量是weight[i]&#xff0c;得到的价值是value[i] 。每件物品都有无限个&#xff08;也就是可以放入背包多次&#xff09;&#xff0c;求解将哪些物品装入背包里物品价值总和最大。 完全背包和01背包问题唯一不同…

[SSD综述1.7] SSD接口形态: SATA、M.2、U.2、PCIe、BGA

依公知及经验整理,原创保护,禁止转载。 专栏 《SSD入门到精通系列》 <<<< 返回总目录 <<<< 前言 犹记得当年Windows 7系统体验指数中,那5.9分磁盘分数,在其余四项的7.9分面前,似乎已经告诉我们机械硬盘注定被时代淘汰。势如破竹的SSD固态硬盘,彻…

ChatGPT 的 Text Completion

该章节我们来学习一下 “Text Completion” &#xff0c;也就是 “文本完成” 。“Text Completion” 并不是一种模型&#xff0c;而是指模型能够根据上下文自动完成缺失的文本部分&#xff0c;生成完整的文本。 ⭐ Text Completion 的介绍 Text Completion 也称为文本自动补全…

机器人连杆惯量参数辨识(估计)

杆的转动惯量的计算公式是Imr^2。在经典力学中&#xff0c;转动惯量&#xff08;又称质量惯性矩&#xff0c;简称惯矩&#xff09;通常以I 或J表示&#xff0c;SI 单位为 kgm。对于一个质点&#xff0c;I mr&#xff0c;其中 m 是其质量&#xff0c;r 是质点和转轴的垂直距离。…

安装 2023最新版本的Tableau Desktop 时出现“0x80070643”错误

安装失败的原因&#xff1a; “0x80070643”错误是Microsoft错误。 必需的安装组件无法启动&#xff0c;通常是C库&#xff0c;或者使用了无效的操作系统版本。 通过控制面板——程序与功能可以查看到自己电脑Microsoft Visual C的版本&#xff0c;像我的话是比较低的&…