FPGA - ZYNQ 基于Axi_Lite的PS和PL交互

前言

在FPGA - ZYNQ 基于EMIO的PS和PL交互中介绍了ZYNQ 中PS端和PL端交互的开发流程,接下来构建基于基于Axi_Lite的PS和PL交互。

开发流程

Axi_Lite从机

在FPGA - AXI4_Lite(实现用户端与axi4_lite之间的交互逻辑)中,详解介绍了AXI4总线,以及AXI_LITE端口信号及其功能,其中axi4_lite 读写过程框架图中介绍了axi4_lite主机搭建过程。

接下来构建axi4_lite从机

Axi4_lite端口信号及其功能

根据axi_lite读写信号分析:

在这里我们需要构建axi4lite_slaveuser_ram

axi4lite_slave:

`timescale 1ns / 1psmodule axilite_slave #(parameter USER_WR_DATA_WIDTH    = 32 , //用户写数据位宽和AXI4―Lite数据位宽保持一致parameter USER_RD_DATA_WIDTH    = 32 , //用户读数据位宽和AXI4―Lite数据位宽保持一致parameter AXI_DATA_WIDTH 		= 32,  //AXI4_LITE总线规定,数据位宽只支持32Bit或者64bitparameter AXI_ADDR_WIDTH        = 32	
)(input       								    axi_clk,    input       								    reset,output  reg								        s_wr_vld,output  reg    [USER_WR_DATA_WIDTH-1:0]	        s_wr_data,output  reg    [AXI_ADDR_WIDTH-1 :0]		    s_wr_addr,output  reg								        s_rd_addr_vld,output  reg    [AXI_ADDR_WIDTH-1 :0]		    s_rd_addr,input          [USER_RD_DATA_WIDTH-1:0]	        s_rd_data,input                                           s_rd_data_vld,input          [AXI_ADDR_WIDTH -1:0]  	        s_axi_awaddr, //axi write address channelinput          [2:0] 				 	        s_axi_awprot, input      		 				 	            s_axi_awvalid, output reg    		 			 	            s_axi_awready,input          [AXI_DATA_WIDTH-1:0]	 	        s_axi_wdata,   //axi write data channelinput          [AXI_DATA_WIDTH/8-1:0] 	        s_axi_wstrb,input           					 	        s_axi_wvalid,output  reg          					 	    s_axi_wready,output         [1:0]	         	            s_axi_bresp,  //axi wirte response channeloutput  reg	   			         	            s_axi_bvalid,input        			         	            s_axi_bready,input      		 							    s_axi_arvalid, // axi read address channeloutput  reg       		 					    s_axi_arready, input          [AXI_ADDR_WIDTH-1:0] 			s_axi_araddr,input          [2:0] 							s_axi_arprot, output  reg    [AXI_DATA_WIDTH-1:0]	    		s_axi_rdata,   // axi read data channeloutput         [1:0] 				    		s_axi_rresp,output  reg     					    		s_axi_rvalid,input        						   	 		s_axi_rready);(* dont_touch="true" *) reg a_reset_sync_d0;
(* dont_touch="true" *) reg a_reset_sync_d1;
(* dont_touch="true" *) reg a_reset_sync;
/*------------------------------------------*\状态机信号定义
\*------------------------------------------*/
reg [1:0] wr_cur_status;
reg [1:0] wr_nxt_status;
reg [1:0] rd_cur_status;
reg [1:0] rd_nxt_status;localparam WR_IDLE    = 3'b000;
localparam WE_DATA    = 3'b001;
localparam WR_BRESP   = 3'b010;localparam RD_IDLE    = 3'b000;
localparam RD_PRE     = 3'b001;
localparam RD_DATA    = 3'b010;/*------------------------------------------*\assign
\*------------------------------------------*/
assign s_axi_bresp = 0;
assign s_axi_rresp  = 0;/*------------------------------------------*\CDC
\*------------------------------------------*/
always @(posedge axi_clk) begina_reset_sync_d0 <= reset;a_reset_sync_d1 <= a_reset_sync_d0;a_reset_sync    <= a_reset_sync_d1;
end/*------------------------------------------*\AXILITE从机写过程
\*------------------------------------------*/
always @(posedge axi_clk) beginif (a_reset_sync) wr_cur_status <= WR_IDLE;else wr_cur_status <= wr_nxt_status;
endalways @(*) beginif (a_reset_sync) wr_nxt_status <= WR_IDLE;else case(wr_cur_status)WR_IDLE : beginif (s_axi_awvalid && s_axi_wvalid) wr_nxt_status <= WE_DATA;else wr_nxt_status <= wr_cur_status;endWE_DATA : beginwr_nxt_status <= WR_BRESP;endWR_BRESP : beginif (s_axi_bvalid && s_axi_bready) wr_nxt_status <= WR_IDLE;else wr_nxt_status <= wr_cur_status;enddefault : wr_nxt_status <= WR_IDLE;endcase    
endalways @(*) beginif (a_reset_sync) begins_axi_awready <= 0;s_axi_wready  <= 0;end  else begins_axi_awready <= wr_cur_status == WE_DATA;s_axi_wready  <= wr_cur_status == WE_DATA;    	end  
endalways @(posedge axi_clk) beginif (a_reset_sync) s_axi_bvalid <= 0;else if (s_axi_bvalid && s_axi_bready) s_axi_bvalid <= 0;else if (wr_cur_status == WR_BRESP)s_axi_bvalid <= 1'b1;else s_axi_bvalid <= s_axi_bvalid;
endalways @(posedge axi_clk) beginif (wr_cur_status == WE_DATA) begins_wr_vld  <= 1'b1;s_wr_data <= s_axi_wdata;s_wr_addr <= s_axi_awaddr;endelse begins_wr_vld  <= 0;s_wr_data <= s_wr_data;s_wr_addr <= s_wr_addr;    	end    
end/*------------------------------------------*\AXILITE从机读过程
\*------------------------------------------*/
always @(posedge axi_clk) beginif (a_reset_sync) rd_cur_status <= RD_IDLE;else rd_cur_status <= rd_nxt_status;
endalways @(*) beginif (a_reset_sync) rd_nxt_status <= RD_IDLE;else case(rd_cur_status)RD_IDLE : beginif (s_axi_arvalid)rd_nxt_status <= RD_PRE;else rd_nxt_status <= rd_cur_status;endRD_PRE : beginrd_nxt_status <= RD_DATA;endRD_DATA : beginif (s_axi_rvalid && s_axi_rready) rd_nxt_status <= RD_IDLE;else rd_nxt_status <= rd_cur_status;	enddefault : rd_nxt_status <= RD_IDLE;endcase    
endalways @(*) beginif (a_reset_sync) s_axi_arready <= 0; else s_axi_arready <= rd_cur_status == RD_PRE;
endalways @(posedge axi_clk) beginif (rd_cur_status == RD_PRE) begins_rd_addr_vld <= 1'b1;s_rd_addr     <= s_axi_araddr;endelse begins_rd_addr_vld <= 0;   s_rd_addr     <= s_rd_addr; 	end     
endalways @(posedge axi_clk) beginif (a_reset_sync) begins_axi_rdata  <= 0;s_axi_rvalid <= 0;endelse if (s_axi_rvalid && s_axi_rready) begins_axi_rvalid <= 0;endelse if (s_rd_data_vld) begins_axi_rvalid <= 1'b1;s_axi_rdata  <= s_rd_data;endelse begins_axi_rvalid <= s_axi_rvalid;s_axi_rdata  <= s_axi_rdata;end    
endendmodule

user_ram

`timescale 1ns / 1psmodule user_ram #(parameter USER_WR_DATA_WIDTH 	=   32 ,parameter USER_RD_DATA_WIDTH 	=   32 ,parameter AXI_DATA_WIDTH        =   32 , //注意AXI4的数据位宽只有32Bit或者64bitparameter AXI_ADDR_WIDTH        =   32	
)(input                                           clk          ,input                                           reset        ,input  								            s_wr_vld     ,input      [USER_WR_DATA_WIDTH-1:0]	            s_wr_data    ,input      [AXI_ADDR_WIDTH-1 :0]		        s_wr_addr    ,input  								            s_rd_addr_vld,input      [AXI_ADDR_WIDTH-1 :0]		        s_rd_addr    ,output reg [USER_RD_DATA_WIDTH-1:0]	            s_rd_data    ,output reg                                      s_rd_data_vld);
localparam SIZE = 1024;
reg [AXI_DATA_WIDTH-1:0] ram [SIZE - 1 : 0] ;always @(posedge clk) beginif (s_wr_vld) ram[s_wr_addr] <= s_wr_data;
endalways @(posedge clk) beginif (reset) begins_rd_data_vld <= 0;s_rd_data     <= 0;endelse if (s_rd_addr_vld) begins_rd_data_vld <= 1'b1;s_rd_data     <= ram[s_rd_addr];end   else begins_rd_data_vld <= 0;s_rd_data     <= 0;endend
endmodule

IP核生成

在ZYNQ开发中,要将构建的axi4lite_slave和user_ram打包为 IP核

首先,创建新工程,将axi4lite_slave和user_ram代码导入:

点击OK

点击Finish

可以看到在Sources界面中已经有axi4lite_slave和user_ram文件

可以看到axi4lite_slave 是顶层。

---------------------------------------------------------------------------------------------------------------------------------

然后开始打包创建IP核

点击NEXT

选择IP保存位置 点击Next

点击OK

点击Finish

然后会弹出一个新工程:

这里是IP配置信息:

保持默认 然后点击Review and Package , 点击Package IP:

点击Yes

然后打开IP保存位置文件夹,可以看到如下:

点击src文件夹里面是axilite_slave.v文件

点击xgui文件夹是axilite_slave_v1_0.tcl文件
这样axilite_slave IP核打包完成。

然后切换user_ram文件为顶层:

右击user_ram文件 点击Set as Top

然后重复axilite_slave打包过程,

打开IP核存放地址

至此,axi4lite_slave和user_ram文件打包ip核完成。

---------------------------------------------------------------------------------------------------------------------------------

硬件系统搭建

搭建硬件系统

具体构建过程可见:

FPGA - ZYNQ 基于EMIO的PS和PL交互icon-default.png?t=N7T8https://blog.csdn.net/weixin_46897065/article/details/137865852?spm=1001.2014.3001.5501如下:

---------------------------------------------------------------------------------------------------------------------------------

然后需要将上面打包的IP核,加载到IP库中:

点击Seting ,再点击IP,然后点Repository

找到上面axi4lite_slave和user_ram IP 核存放位置,点击Select:

点击ok

然后搜索axilite_slave和user_ram:

双击添加,点击Run Block Automation

然后点击RUN connection Automation

连线完成如下:

---------------------------------------------------------------------------------------------------------------------------------

由于axi4lite_slave和user_ram 是高复位,所以删除原来的低复位,重新连接高复位引脚:

删除重新连接:

复位连接完成如下:

然后将axilite_slave 引脚 和user_ram 引脚相连:

连接完成如下:

然后点击重新布局:

然后点击验证设计:

点击OK 

然后按照FPGA - ZYNQ 基于EMIO的PS和PL交互中的开发流程:

生成封装,生成底层和顶层文件,

然后生成比特流,导出硬件,启动SDK。

---------------------------------------------------------------------------------------------------------------------------------

SDK 程序设计

创建SDK工程

点击空工程  点击finish

添加source file 

---------------------------------------------------------------------------------------------------------------------------------

在硬件系统搭建中,我们看到,自动连线后,会出现一个AXI Interconnect。如下图:

这个模块在PS设计中,通过API接口实现axilite读写。

基于自定义AXI_lite 与 PS  API接口 之间的映射关系

PS端API函数  和 AXI4_lite  总线的映射关系 对应关系
写入数据  Xil_Out32()函数
读出数据  Xil_In32()   函数 

1,利用API接口函数实现读写axilite读写:


#include "xparameters.h"
#include "sleep.h"
#include "xil_io.h"#define AXI_LITE_BASEADDR 0x40000000通过函数编写int main()
{u32 rddata;Xil_Out32(AXI_LITE_BASEADDR,1000);Xil_Out32(AXI_LITE_BASEADDR + 4,500);Xil_Out32(AXI_LITE_BASEADDR + 8,800);rddata = Xil_In32(AXI_LITE_BASEADDR);rddata = Xil_In32(AXI_LITE_BASEADDR + 4);return 0;
}

2,利用指针实现读写axilite读写:


#include "xparameters.h"
#include "sleep.h"
#include "xil_io.h"#define AXI_LITE_BASEADDR 0x40000000int main()
{u32* LitePtr  = (u32*)AXI_LITE_BASEADDR;  //强制转换 转为地址u32 wrdata = 0;u32 rddata = 0;int i = 0;//向PL写数据for (i = 0; i < 128; i++ ){*LitePtr++ = wrdata++;}LitePtr  = (u32*)AXI_LITE_BASEADDR;for (i = 0; i < 128; i++ ){rddata = *LitePtr++;printf("rddata= %d \n",rddata);}return 0;
}

最后,下载验证。

总结

        在这里,实现了基于Axi_Lite的PS和PL交互,和axilite_slave(axilite从机)的实现,以及自定义IP核的创建,并且在SDK程序中实现了2种axilite的读写。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/diannao/1917.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

性能工具之 JMeter 自定义 Java Sampler 支持国密 SM2 算法

文章目录 一、前言二、加密接口1、什么是SM22、被测接口加密逻辑 三、准备工作四、JMeter 扩展实现步骤1&#xff1a;准备开发环境步骤2&#xff1a;了解实现方法步骤3&#xff1a;runTest 方法步骤4&#xff1a;getDefaultParameters 方法步骤5&#xff1a;setupTest 方法 五、…

HTX迪拜之夜盛大举行:共筑开放、互联的Web3生态系统

4月18日&#xff0c;由HTX、HTX DAO主办&#xff0c;去中心化AI云游戏协议DeepLink赞助的HTX迪拜之夜主题活动“领航者相聚&#xff0c;引领币圈新风向”在迪拜盛大举行。通过在全球第二大加密中心-迪拜的频繁亮相&#xff0c;HTX正积极塑造自己作为行业领导者的形象&#xff0…

Mysql学习一

目录 1.启动数据库&#xff1a; 2.命令行连接到MySQL&#xff08;winr输入cmd&#xff09; 3.MySQL的三重结构&#xff1a; 4.SQL语句分类&#xff1a; 1.启动数据库&#xff1a; winr——输入services.msc进入本地服务 2.命令行连接到MySQL&#xff08;winr输入cmd&#x…

109. Python的turtle库简介

109. Python的turtle库简介 【目录】 文章目录 109. Python的turtle库简介1. 什么是turtle库&#xff1f;2. 用turtle库绘制一个爱心图案3. 库的导入方法3.1 直接导入整个库3.2 从库中导入特定的函数或类3.3 导入库中的所有内容3.4 为导入的库设置别名3.5 为导入的函数或变量设…

阿里巴巴Java开发规范——编程规约(3)

# 阿里巴巴Java开发规范——编程规约&#xff08;3&#xff09; 编程规约 &#xff08;四&#xff09; OOP规约 1.【强制】构造方法里面禁止加入任何业务逻辑&#xff0c;如果有初始化逻辑&#xff0c;请放在 init 方法中 这条编程规范的目的是为了保持代码的清晰性、可读性…

AOP

代理模式 提出问题 现有缺陷 假设我们有一个计算类&#xff0c;里面有加减乘除四个方法&#xff0c;现在我们要为这四个方法添加日志&#xff0c;即在方法执行的前后分别输出一句话&#xff0c;这时我们会发现如下缺陷&#xff1a; 1.对核心业务有干扰。核心业务是加减乘除…

货拉拉0-1数据指标体系构建与应用

目录 一、背景 二、指标体系搭建 2.1 指标设计 2.2 指标体系搭建 2.3 指标维度拆解 三、指标标准化建设 四、指标元数据管理 五、指标应用&未来规划 原文大佬介绍的这篇指标体系构建有借鉴意义&#xff0c;现摘抄下来用作沉淀学习。如有侵权请告知~ 一、背景 指标…

汽车摄像头匿名化处理解决方案,保护信息的安全性和隐私性

随着智能交通和自动驾驶技术的迅猛发展&#xff0c;汽车摄像头已成为现代汽车不可或缺的一部分&#xff0c;摄像头所捕捉的图像信息也引发了日益严峻的信息安全问题。如何在充分利用摄像头功能的同时&#xff0c;保障个人隐私和信息安全&#xff0c;已成为企业亟待解决的问题。…

IP地址定位技术引发的个人隐私保护问题

IP地址定位技术对互联网的影响深远且多面&#xff0c;它不仅改变了网络管理与优化的方式&#xff0c;还极大地推动了在线广告营销、电子商务、地理信息服务等多个领域的发展。然而&#xff0c;与此同时&#xff0c;它也引发了一系列关于个人隐私保护的问题。 首先&#xff0c;I…

vue的学习之用vue写一个hello,vue

根据以下步骤下载vue.js 介绍 — Vue.js 创建一个damo.html &#xff0c;引入vue.js即可 <body><div id"app">{{ message }}</div><!-- Vue --><!-- 开发环境版本&#xff0c;包含了有帮助的命令行警告 --><script src"js/vu…

清华新突破,360°REA重塑多智能体系统:全方位提升复杂任务表现

引言&#xff1a;多智能体系统的新篇章——360REA框架 在多智能体系统的研究领域&#xff0c;最新的进展揭示了一种全新的框架——360REA&#xff08;Reusable Experience Accumulation with 360 Assessment&#xff09;。这一框架的提出&#xff0c;不仅是对现有系统的一次重大…

如何修改WordPress数据库表前缀以提高安全性

WordPress作为世界上最受欢迎的内容管理系统之一&#xff0c;吸引了数以百万计的用户。然而&#xff0c;正因为其广泛的使用&#xff0c;WordPress网站也成为了黑客攻击的目标之一。其中一个最常见的安全漏洞是使用默认的数据库表前缀wp_&#xff0c;使得黑客能够更轻松地进行大…

Oracle交换分区测试

1、用exchange分区表减少初始化过程中对业务中断的影响 2、创建分区表 create table t_p (id number,name varchar2(30),addr varchar2(50)) partition by range(id) (partition p1 values less than(10), partition p2 values less than(20), partition p3 values less …

sql(ctfhub)

一.整数型注入 输入1 输入2 输入2-1&#xff0c;回显为1的结果&#xff0c;说明是数字型&#xff0c;只有数字型才可加减 判断字段数为2 查询数据库 查表 查列 显示flag内容 二.字符型注入 输入1 输入2 输入2-1&#xff0c;说明为字符型&#xff0c;不是数字型 判断闭合方式为…

【数据分析面试】27. 计算广告评论比例 (SQL)

题目: 计算广告评论比例 假设你有一个ads表&#xff0c;包含ID和广告名称&#xff0c;比如“劳动节衬衫促销”。feed_comments表保存了不同用户在常规信息流中对广告的评论。moments_comments表保存了不同用户在moments中对广告的评论。 编写一个查询&#xff0c;获取广告在f…

问题总结笔记

1.向量旋转 问题&#xff1a; 将一个向量旋转90 方法&#xff1a;旋转矩阵 FVector FrontDir EndMousePoint - Point; FrontDir.Normalize(); FVector Left FVector(-FrontDir.Y, FrontDir.X, 0); Verties.Add(Point Left * (WallWedith / 2)); Verties.Add(FVector(Vertie…

BFS 专题 ——FloodFill算法:733.图像渲染

文章目录 前言FloodFill算法简介题目描述算法原理代码实现——BFSCJava 前言 大家好啊&#xff0c;今天就正式开始我们的BFS专题了&#xff0c;觉得有用的朋友给个三连呗。 FloodFill算法简介 中文&#xff1a;洪水灌溉 举个例子&#xff0c;正数为凸起的山峰&#xff0c;负…

攻防世界---misc---easycap

1.下载附件是一个流量包&#xff0c;拿到wireshark中分析 2.查看分级协议 3.过滤data 4.追踪tcp流 5.得到flag

OpenCV与AI深度学习 | OpenCV如何读取仪表中的指针刻度

本文来源公众号“OpenCV与AI深度学习”&#xff0c;仅用于学术分享&#xff0c;侵权删&#xff0c;干货满满。 原文链接&#xff1a;OpenCV如何读取仪表中的指针刻度 最近遇到一个问题&#xff0c;如何读取仪表中的指针指向的刻度。 解决方法有多种&#xff0c;比如&#xff…

Linux使用Docker部署DashDot访问本地服务器面板

文章目录 1. 本地环境检查1.1 安装docker1.2 下载Dashdot镜像 2. 部署DashDot应用 本篇文章我们将使用Docker在本地部署DashDot服务器仪表盘&#xff0c;并且结合cpolar内网穿透工具可以实现公网实时监测服务器系统、处理器、内存、存储、网络、显卡等&#xff0c;并且拥有API接…