PYNQ 框架 - 时钟系统 + pl_clk 时钟输出不准确问题

目录

1. 简介

2. PS 时钟计算

2.1 计算框架

2.2 KV260 的参考时钟

2.3 PL_CLK 设置

3. 测试

3.1 Block design

3.2 引脚绑定

3.3 使用 AD2 测量

3.4 调整分频

4. PYNQ 时钟驱动

4.1 源码解析

4.2 查看 PL_CLK

4.3 配置 PL_CLK

5. 总结


1. 简介

ZYNQ MPSoC 具有比较复杂的时钟系统。

PS 的时钟系统为处理器、外设、互连以及其他系统元素生成时钟。有五个系统 PLL 用于生成高频信号,这些信号被用作 LPD 和 FPD 中几十个时钟发生器的时钟源

LPD 中有两个系统 PLL 时钟单元,FPD 电源域中有三个。每个 PLL 单元在其输出上有两个时钟分频器;LPD 中一个,FPD 中一个。这些时钟分频器可以从一个 PLL 提供两种不同的时钟频率(在两个时钟域中)。对于跨电源域的时钟,最大时钟输出频率稍低。

每个系统 PLL 单元都有推荐用途,但各个时钟发生器可以从路由到它的三个 PLL 时钟中选择一个。

系统PLL单元位于LPD和FPD电源域:

  • LPD PLLs:
    • I/O PLL(IOPLL):为所有低速外设和部分互连提供时钟。
    • RPU PLL(RPLL):为 RPU MP Core 和部分互连提供时钟。
  • FPD PLLs:
    • APU PLL(APLL):为APU MPCore时钟和部分互连提供时钟。
    • Video PLL(VPLL):为视频I/O提供时钟。
    • DDR PLL(DPLL):为DDR控制器和部分互连提供时钟。

PS:最近使用 KV260 生成一些时钟,发现使用 PS 输出的 pl_clk 时钟和预设的有较大差异,因此通过实现验证猜想。

2. PS 时钟计算

2.1 计算框架

非常重要,必须理解这五个 PLL 的计算过程,方可手动配置分频参数。

1)PS 输入参考时钟

在以下窗口输入,一般的值为 33.333 MHz。

2)PLL 乘数

PS 输入参考时钟 * PLL 乘数 = VCO 输出时钟。

3)DIV2 分频

该分频为默认的 2 分频,可以选择取消。(需要勾选 Enable Manual Mode)

4)Divisors 分频

一个 6-bit 的可编程分频器。

5)PLL 输出

2.2 KV260 的参考时钟

在《DS987 - Kria K26 SOM Data Sheet》中,可以查看 SOM 系统结构图,包含该系统使用的33.33 MHz 晶振。

2.3 PL_CLK 设置

有四个 PS 至 PL 的时钟输出可以设定,我们先设定两个,分别为 100MHz 和 50MHz。

系统默认使用 IOPLL 作为时钟来源,I/O PLL 常用于为所有低速外设和部分互连提供时钟。

系统将自动推断分频值,如上图所述。

3. 测试

3.1 Block design

其中,counter_flip 模块用于按照指定值对时钟进行分频。目的方便使用 IO 口对时钟信号进行测量。而 clk_wiz_0 对 pl_clk1 进行二倍频。

counter_flip 的分频倍数为 100,即 PL_CLK 频率 = 实测频率 × 100。

Verilog 代码如下:

module counter_flip(input clk,                    // 时钟信号input rst_n,                  // 复位信号output reg sig_out = 0        // 输出信号,初始为0
);parameter MAX_COUNT = 49;        // 目标计数值reg [7:0] count = 8'd0;           // 8位计数器,初始值为0always @(posedge clk or negedge rst_n) beginif (!rst_n) begincount   <= 8'd0;          // 复位时计数器清零sig_out <= 0;             // 复位时输出也清零end else beginif (count == MAX_COUNT) begincount   <= 8'd0;      // 当计数达到最大值时,计数器清零sig_out <= ~sig_out;  // 并翻转输出end else begincount <= count + 1;   // 否则计数器递增endend
endendmodule

3.2 引脚绑定

sig_out_0 -> H12 -> J2.1 -> AD2.CH1
sig_out_1 -> E10 -> J2.3 -> AD2.CH3

3.3 使用 AD2 测量

使用 PYNQ 框架加载 bit 文件,然后使用 AD2 测量。 

1)Channel 1,vivado IDE 显示输出的频率应为 50 MHz,实际测量如下:

测量的频率是:333.33 kHz。

分频倍频数是:100。

那么 pl_clk0 的实际输出是:333.33 kHz * 100 = 33.333 MHz。

2)Channel 2,vivado IDE 显示输出的频率应为 200 MHz,实际测量如下:

测量的频率是:1.3333 MHz。

分频倍频数是:100。

那么 pl_clk1*2 的实际输出是:1.3333 MHz * 100 = 133.33 MHz。 

3.4 调整分频

参考 2.1 计算框架 中的参数,IOPLL 输出时钟频率是 499.995 MHz,理论上:

  • PL0 = 499.995 MHz / 30 = 16.6665 MHz
  • PL1 = 499.995 MHz / 15 = 33.333 MHz

明显不对。说明 IOPLL 的输出频率并不是 499.995 MHz。

直接放弃在 Vivado IDE 中配置 PL_CLK 时钟,通过 PYNQ 框架中的时钟类来调整。

如下:

from pynq.ps import ClocksClocks.set_pl_clk(0, 20, 1)
Clocks.set_pl_clk(1, 10, 1)

再次通过 AD2 进行测量:

1)Channel 1,vivado IDE 显示输出的频率应为 50 MHz,实际测量如下:

测量的频率是:499.99 kHz。

分频倍频数是:100。

那么 pl_clk0 的实际输出是:499.99 kHz * 100 = 49.999 MHz。

2)Channel 2,vivado IDE 显示输出的频率应为 200 MHz,实际测量如下:

测量的频率是:1.3333 MHz。

分频倍频数是:100。

那么 pl_clk1*2 的实际输出是:2.0000 MHz * 100 = 200.00 MHz。 

Channel 1 与 Channel 2 的时钟均符合预期。

4. PYNQ 时钟驱动

4.1 源码解析

源码地址:

/usr/local/share/pynq-venv/lib/python3.10/site-packages/pynq/ps.pyorhttps://pynq.readthedocs.io/en/v3.0.0/_modules/pynq/ps.html#Clocks

1) 全局变量

  • ZYNQ_ARCH、ZU_ARCH,这些常量用于区分不同的硬件架构。
  • CPU_ARCH_IS_SUPPORTED 和 CPU_ARCH_IS_x86 用于检测当前运行环境的 CPU 架构是否受支持。

2)时钟寄存器定义

  • 定义了一系列字典,如 ZYNQ_PLL_FIELDS、ZU_CLK_FIELDS 等,这些字典包含了不同寄存器的配置信息(如位偏移、位宽、描述等)。

3)寄存器地址映射

  • 定义了不同硬件架构下的寄存器地址映射,如 ZYNQ_SLCR_REGISTERS 和 ZU_CRL_REGISTERS。

4)_ClocksMeta 元类

  • 这是一个元类,用于定义时钟类的一些属性和方法。它定义了一系列属性(如 cpu_mhz、fclk0_mhz 等),这些属性通过 _instance 属性动态获取其实例的相应方法。

5)_ClocksBase 基类

  • 这是一个抽象基类,定义了 get_pl_clk 和 set_pl_clk 等方法,这些方法用于获取和设置 PL 时钟的频率。
  • _get_src_clk_mhz 和 _get_2_divisors 是辅助方法,用于计算时钟频率和分频值。

6)特定架构的时钟类

  • _ClocksUltrascale 和 _ClocksZynq 是两个具体实现类,分别适用于 Zynq Ultrascale 和 Zynq 7-Series 硬件架构。这些类实现了基类中定义的抽象方法,以及一些特定于硬件的功能,如处理PLL的配置。

7)Clocks 用户类

  • Clocks 类是用户接口,允许用户获取和设置 CPU 和 PL 时钟。它使用 _ClocksMeta 作为其元类,从而继承了一系列动态属性和方法。

4.2 查看 PL_CLK

1)查看 clock_dict

ol.clock_dict
---
{0: {'enable': 1, 'divisor0': 15, 'divisor1': 1},1: {'enable': 1, 'divisor0': 30, 'divisor1': 1},2: {'enable': 0, 'divisor0':  4, 'divisor1': 1},3: {'enable': 0, 'divisor0':  4, 'divisor1': 1}}

2)查看 CPU 时钟频率

from pynq.ps import Clocksprint(f"CPU CLK: {Clocks.cpu_mhz}")---
CPU CLK: 1333.32

3)查看 PL_CLK 时钟

from pynq.ps import Clocksprint(f"FCLK0: {Clocks.fclk0_mhz}")
print(f"FCLK1: {Clocks.fclk1_mhz}")
print(f"FCLK2: {Clocks.fclk2_mhz}")
print(f"FCLK3: {Clocks.fclk3_mhz}")--
FCLK0: 66.666
FCLK1: 33.333
FCLK2: 99.999
FCLK3: 99.999

4.3 配置 PL_CLK

# 直接指定频率,PYNQ 自动计算分频系数
Clocks.set_pl_clk(0, None, None, 100) # 指定 PL0 输出 100 MHzprint(f"FCLK0: {Clocks.fclk0_mhz}")
---
FCLK0: 99.999

 Or

# 指定分频系数
Clocks.set_pl_clk(0, 15, 1)# 可以先不分频,看看该 PLL 当前的输出
Clocks.set_pl_clk(0, 1, 1)
print(f"FCLK0: {Clocks.fclk0_mhz}")
---
FCLK0: 999.99# 再设定分频系数
Clocks.set_pl_clk(0, 10, 1)
print(f"FCLK0: {Clocks.fclk0_mhz}")
---
FCLK0: 99.999

5. 总结

  • 使用 PYNQ 加载 bit 后,发现 PL_CLK 的输出值不准确。
  • Vivado IDE 中,ZU 时钟输出 DIV2 似乎并未生效。
  • 通过 PYNQ Clocks 类,可以动态调节 PL_CLK 输出。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/bicheng/62621.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【python量化教程】如何使用必盈API的股票接口,获取最新实时交易数据

实时交易数据简介 股票实时交易数据涵盖股票价格、成交量、涨跌幅等多类信息。其在股票交易中极为关键&#xff0c;高速准确的数据对各方意义重大。投资者可借此及时捕捉机会、优化策略与降低风险&#xff1b;实时准确的实时交易数据是股票市场有效运转的核心要素之一。 使用…

面试小札:ThreadLocal底层实现原理和具体应用场景

ThreadLocal底层实现原理 数据结构 ThreadLocal 内部有一个静态内部类 ThreadLocalMap &#xff0c;它是 ThreadLocal 实现的关键。 ThreadLocalMap 是一个自定义的哈希表&#xff0c;类似于 java.util.HashMap &#xff0c;但它的设计更加简单和高效&#xff0c;用于存储每个线…

编程语言中什么是框架?什么是Cocoa?Foundation.framework的底层实现?Swift如何引入ObjC框架?

编程语言中什么是框架&#xff1f; 在编程语言中&#xff0c;框架&#xff08;Framework&#xff09;是一种特定的软件库&#xff0c;它提供了一套预先定义的代码和组件&#xff0c;用于加速和简化特定类型的应用程序的开发。框架通常提供了一套标准化的开发工具集和约定&#…

SQL进阶——C++与SQL进阶实践

在C开发中&#xff0c;SQL数据库的操作是开发者常见的任务之一。虽然前面我们已经介绍了如何在C中通过数据库连接执行基本的SQL查询&#xff0c;但在实际项目中&#xff0c;我们通常需要更加复杂和高效的数据库操作。存储过程与函数的调用、复杂SQL查询的编写、以及动态构造SQL…

【Zookeeper】四,Zookeeper节点类型、通知、仲裁、会话

文章目录 Zookeeper的架构znode的版本Zookeeper的节点类型层级树状结构znode的不同类型 Zookeeper监视与通知通知的类型 Zookeeper的仲裁Zk的会话会话的生命周期 Zookeeper的架构 Zookeeper的服务器端运行两种模式&#xff1a;独立模式&#xff08;standalone&#xff09;和仲…

Mac安装及合规无限使用Beyond Compare

文章目录 Beyond CompareBeyond Compare简介Beyond Compare安装Beyond Compare到期后继续免费使用 Beyond Compare Beyond Compare简介 Beyond Compare 是一款由 Scooter Software 开发的文件和文件夹比较工具。它主要用于对比两个文件或文件夹之间的差异&#xff0c;并支持文…

[极客大挑战 2019]PHP--详细解析

信息搜集 想查看页面源代码&#xff0c;但是右键没有这个选项。 我们可以ctrlu或者在url前面加view-source:查看&#xff1a; 没什么有用信息。根据页面的hint&#xff0c;我们考虑扫一下目录看看能不能扫出一些文件. 扫到了备份文件www.zip&#xff0c;解压一下查看网站源代码…

毫米波雷达技术:(五)距离-多普勒图谱,以及 FMCW 信号帧结构的设计

(一) 距离-多普勒图谱&#xff08; R a n g e − D o p p l e r F F T Range-Doppler~FFT Range−Doppler FFT &#xff08; 2 D − F F T 2D-FFT 2D−FFT&#xff09;的结果&#xff09;: 1&#xff09;range-bins&#xff08;距离单元&#xff09;&#xff1a; 上述步骤②的…

Linux环境下配置neo4j图数据库

1.下载安装包 openjdk-11.0.1_linux-x64_bin.tar.gz neo4j-community-4.2.19-unix.tar.gz 2.之前配置好的配置文件 neo4j.conf 3.安装 3.1-jdk11的安装&#xff08;jdk1.8不够用&#xff09; 解压缩 tar -zxvf openjdk-11.0.1_linux-x64_bin.tar.gz修改系统环境变量 打开pro…

Mybatis:CRUD数据操作之多条件查询及动态SQL

Mybatis基础环境准备请看&#xff1a;Mybatis基础环境准备 本篇讲解Mybati数据CRUD数据操作之多条件查询 1&#xff0c;编写接口方法 在 com.itheima.mapper 包写创建名为 BrandMapper 的接口。在 BrandMapper 接口中定义多条件查询的方法。 而该功能有三个参数&#xff0c;…

Day3 洛谷 1161+1179+1200+1304

零基础洛谷刷题记录 Day1 2024.11.18 Day2 2024.11.25 Day3 2024.11.26 文章目录 零基础洛谷刷题记录1161&#xff1a;题目描述1161&#xff1a;解题代码1161&#xff1a;学习成果1179&#xff1a;题目描述&#xff08;成功写出&#xff09;1179&#xff1a;解题代码1179&…

18:(标准库)DMA二:DMA+串口收发数据

DMA串口收发数据 1、DMA串口发送数据2、DMA中断串口接收定长数据包3、串口空闲中断DMA接收不定长数据包4、串口空闲中断DMA接收不定长数据包DMA发送数据包 1、DMA串口发送数据 当串口的波特率大于115200时&#xff0c;可以通过DMA1进行数据搬运&#xff0c;以防止数据的丢失。如…

【Flink-scala】DataStream编程模型之窗口计算-触发器-驱逐器

DataStream API编程模型 1.【Flink-Scala】DataStream编程模型之数据源、数据转换、数据输出 2.【Flink-scala】DataStream编程模型之 窗口的划分-时间概念-窗口计算程序 文章目录 DataStream API编程模型前言1.触发器1.1 代码示例 2.驱逐器2.1 代码示例 总结 前言 本小节我想…

MATLAB —— 机械臂工作空间,可达性分析

系列文章目录 前言 本示例展示了如何使用可操作性指数对不同类型的机械手进行工作空间分析。工作空间分析是一种有用的工具,可用于确定机器人工作空间中最容易改变末端效应器位置和方向的区域。本示例的重点是利用不同的可操控性指数类型来分析各种机械手的工作空间。了解工作…

提升异步编程性能:使用 uvloop 加速你的 Python 应用

提升异步编程性能&#xff1a;使用 uvloop 加速你的 Python 应用 引言什么是 uvloop&#xff1f;安装 uvloop使用 uvloop示例代码代码解释性能对比性能测试结果 总结参考资料 引言 在现代的 Python 异步编程中&#xff0c;asyncio 是一个非常强大的工具&#xff0c;它允许我们…

Python 小高考篇(8)拓展

目录 列表读取内容修改内容for 循环遍历列表下标越界 ASCII码和字符的相互转换导入库进制转换结尾 本文由Jzwalliser原创&#xff0c;发布在CSDN平台上&#xff0c;遵循CC 4.0 BY-SA协议。 因此&#xff0c;若需转载/引用本文&#xff0c;请注明作者并附原文链接&#xff0c;且…

11.21c++中的函数

练习&#xff1a; 编写mystring类&#xff1a;拥有以下功能&#xff1a; 1.带参、无参构造函数&#xff0c;拷贝构造函数&#xff0c;析构函数 2.编写 append(const mystring r) 为当前字符串尾部&#xff0c;拼接新的字符串r 3.编写 isEqual(const mystring r) 判断当前字符串…

windbg使用定位内核内存泄露

零 打开驱动测试测试工具 verifier /standard /driver fileflt.sys 然后重启电脑等待生效 一 设置 Windows 内核调试的符号路径和模块加载 1. 设置微软符号服务器路径&#xff1a; 在 WinDbg 中&#xff0c;可以通过以下命令设置符号路径&#xff1a; .sympath srv*c:\s…

vue3使用monaco编辑器(VSCode网页版)

vue3使用monaco编辑器&#xff08;VSCode网页版&#xff09; 文章说明参考文章核心代码效果展示实践说明源码下载 文章说明 一直在找网页版的编辑器&#xff0c;网页版的VSCode功能很强大&#xff0c;这个monaco就是VSCode样式的编辑器&#xff0c;功能很强大&#xff0c;可以直…

Vue.js 组件开发进阶:构建可扩展的组件库

在构建大型应用或企业级前端项目时&#xff0c;往往需要将多个组件模块化、封装成可复用的组件库。这种组件库不仅能够大幅提升开发效率&#xff0c;还能确保一致的用户体验和易于维护的代码结构。Vue.js 作为一个渐进式的前端框架&#xff0c;其灵活的组件化设计使得开发高质量…