【雷达原理】雷达测角原理及实现方法

目录

  • 一、雷达测角原理
    • 1.1 测角研究历史和现状
    • 1.2 测角方法总结
    • 1.3 3DFFT测角
      • 1.3.1 基本原理
      • 1.2.2 测角性能
  • 二、MATLAB仿真案例
  • 参考文献

一、雷达测角原理

1.1 测角研究历史和现状

(1)早期采用窄波束对准目标,目标的角度对应于天线的角度读数,这种使得波束中目标回波的信号强度最大的方法并不能满足所需精度要求。
(2)1937 年一种称为波束切换的技术,首次在美军通信兵SCR-268雷达的原型机上得到演示。这种雷达专门为引导防空火力而设计,并且成为首批成功采用波束切换技术将天线对准目标的成品雷达。
(3)搜索照射技术是利用反射体天线在观测空间的俯仰维上产生窄的笔形波束,以机械扫描的方式对准待观测目标方向或者接近观测目标方向,从而确定待观测目标的空间俯仰角。
(4)点头式雷达广泛应用于雷达系统中的俯仰角测量之中,它通过利用雷达天线摆动或“点头”的方法,在俯仰维上使用窄垂直波束宽度的扇状水平波束进行扫描。
(5)相控阵雷达是一种自适应能力很强的雷达探测系统, 其阵元天线利用阵元间信号的相位关系,根据设定的观测角度快速的形成波束指向,同时可以有效的设定波束形状,不需要使用机械扫描方法。
(6)阵列天线的优势在于可以通过调节行馈源输出端的移相器实现俯仰维扫描或控制笔形窄波束。这种方法在 3D 雷达测高技术中应用广泛,能充分利用频带,并使波形和波束位置相互完全独立。
(7)在精密跟踪测量领域中,单脉冲测角技术是一种工程中较为实用的测角技术,它具有方法简单、计算量小的特点, 在雷达角度测量领域得到广泛应用。
(8)堆积波束雷达将同时形成的接收波束在俯仰维上垂直堆积起来,并在方位维机械旋转,以实现目标的检测和三坐标测量。

1.2 测角方法总结

测角方法分为相位法测角和振幅法测角
相位法测角: 通过比较两天线接收信号的相位信息来确定目标角度的方法。
振幅法测角: 利用目标回波的幅度来定向并利用幅度鉴别器确定目标角度的方法。振幅法测角可以分为最大信号法和等信号法两种。
在这里插入图片描述
对于毫米波雷达,通常采用波达方向估计(Direction of Arrival,DOA)进行测角,这类方法利用多个阵列接收的回波信号之间存在的相位变化关系进行计算,包括 F F T FFT FFT D B F DBF DBF 以及超分辨测角方法。

1.3 3DFFT测角

以一维均匀线阵为例,X波段甚至频率更高的毫米波雷达,其接收阵元的间距为厘米级,对于几米外的目标可视为远场目标,该目标到达各个阵元的回波均相互平行。回波到达相邻两个阵元之间的相位差相同,如图1所示。
对于 LFMCW 雷达的多个接收阵元,做空间 F F T FFT FFT 运算可求得目标反射波在不同天线阵列的相位变化规律,因此可以计算出目标的角度信息。

1.3.1 基本原理

如图1所示,设该雷达系统的天线为1发8收的均匀线阵,接收阵元的间距为 d d d d = λ / 2 d=λ/2 d=λ/2 λ 为波长 λ为波长 λ为波长,目标相对于天线法线方向的夹角为 θ θ θ,则相邻阵元之间接收信号的波程差为 d s i n θ dsinθ dsinθ,由此得到对应的相位差为:
w = 2 π d s i n θ λ ( 1 − 1 ) w=\frac{2πdsinθ}{λ} (1-1) w=λ2πdsinθ11
式(1-1)描述了相邻接收阵元的相位差与目标角度的关系,即通过测量出相邻阵元之间的相位差来间接解算出目标相对雷达的角度。
在这里插入图片描述
图1 一维均匀线阵信号接收示意图
对所有接收阵元的回波信号进行 N f f t N_{fft} Nfft F F T FFT FFT 处理,设获取峰值对应的下标为 k k k k ∈ [ − N f f t / 2 , N f f t / 2 − 1 ] k∈[-N_{fft}/2,N_{fft}/2-1] k[Nfft/2,Nfft/21],则根据 F F T FFT FFT 频谱分析的原理可知 w = 2 π k N f f t w=\frac{2πk}{N_{fft}} w=Nfft2πk,得到 w w w 后,并根据式(1-1)可计算得到目标角度信息:
θ = a r c s i n ( w λ 2 π d ) = a r c s i n ( k λ N f f t d ) = a r c s i n ( 2 k N f f t ) ( 1 − 2 ) θ=arcsin(\frac{wλ}{2πd})=arcsin(\frac{kλ}{N_{fft}d})=arcsin(\frac{2k}{N_{fft}})(1-2) θ=arcsin(2πdwλ)=arcsin(Nfftd)=arcsin(Nfft2k)12
由式(1-2)知,使用 F F T FFT FFT 的方法来进行DOA估计时,采用更多的 F F T FFT FFT 点数有利于提高角度计算精度。

1.2.2 测角性能

(1)测量精度
根据式(1-1)可知,相位差 w w w 与目标角度 θ θ θ 是一种非线性的关系。如图2所示, s i n θ sinθ sinθ 随着 θ θ θ 的增大而逐渐缓慢变化,即该曲线的斜率减小。因此,当 θ θ θ 为0°时,相位差 w w w 对目标角度 θ θ θ 的变化最敏感,此时角度测量的精度最高, w w w 对目标角度 θ θ θ 的灵敏度会随着 θ θ θ 的增加而降低,直到 θ θ θ 为90°时,相位差 w = 0 w=0 w=0,测角精度大大降低。
在这里插入图片描述
图2 正弦曲线示意图

(2)最大不模糊角度
在这里插入图片描述
雷达的最大视场角由估计的最大到达角(Angle of Arrival,AOA)决定。当相位差 w > 0 w>0 w>0 时,目标在雷达的左侧;当相位差 w < 0 w<0 w<0 时,目标在雷达的由侧;但当相位差 w > π w>π w>π 时,就无法确定目标在雷达的哪一侧,这便产生了角度模糊。因此为了测角无模糊,需要满足以下条件:
w = 2 π d s i n θ λ < π ,即 θ = a r c s i n ( λ 2 d ) ( 1 − 3 ) w=\frac{2πdsinθ}{λ}<π,即θ=arcsin(\frac{λ}{2d})(1-3) w=λ2πdsinθ<π,即θ=arcsin(2dλ)13
因此,对于间距为 d d d 的两个阵元可提供的最大不模糊角度为 θ m a x = a r c s i n ( λ 2 d ) θ_{max}=arcsin(\frac{λ}{2d}) θmax=arcsin(2dλ) ,当阵元间距满足 d = λ / 2 d=λ/2 d=λ/2 时, θ m a x = ± 90 ° θ_{max}=±90° θmax=±90°

(3)角度分辨率
角度分辨率反映了雷达对角度相近目标的分辨能力,在3DFFT的计算中则表现为两个目标频谱的峰值相靠近直至成一个单峰。
如下图所示,随着到达角的增加,雷达对目标的角度分辨力下降。
在这里插入图片描述
对于两个目标,其分辨率的计算方法推导如下:
Δ ω = 2 π d λ ( s i n ( θ + Δ θ ) − s i n ( θ ) ) ⁡⁡⁡⁡⁡⁡⁡⁡⁡⁡ ≈ 2 π d λ c o s ( θ ) Δ θ ( 1 − 4 ) Δω =\frac {2πd}{λ}(sin(θ + Δθ) − sin (θ)) ⁡⁡⁡⁡⁡⁡⁡⁡⁡⁡≈ \frac{2πd}{λ}cos(θ) Δθ(1-4) Δω=λ2πd(sin(θ+Δθ)sin(θ))⁡⁡⁡⁡⁡⁡⁡⁡⁡⁡λ2πdcos(θ)Δθ14
式(4-1)利用了导数的概念: s i n ( θ + Δ θ ) − s i n ( θ ) Δ θ = c o s ( θ ) \frac{sin(θ + Δθ) − sin (θ)}{Δθ}=cos(θ) Δθsin(θ+Δθ)sin(θ)=cos(θ)
又因为, Δ ω > 2 π N ( 1 − 5 ) 又因为,Δω >\frac{2π}{N}(1-5) 又因为,Δω>N2π15
由式(1-4)和式(1-5),可以得到:
2 π d λ c o s ( θ ) Δ θ > 2 π N ⇒ Δ θ > λ N d c o s ( θ ) \frac{2πd}{λ}cos(θ) Δθ >\frac{2π}{N} ⇒ Δθ >\frac{λ}{Ndcos(θ)} λ2πdcos(θ)Δθ>N2πΔθ>Ndcos(θ)λ
因此,角度分辨率 θ r e s = λ N d c o s ( θ ) θ_{res}=\frac{λ}{Ndcos(θ)} θres=Ndcos(θ)λ
通常认为 d = λ / 2 , θ = 0 d=λ/2,θ=0 d=λ/2θ=0,所以最终的角度分辨率为:
θ r e s = 2 N θ_{res}=\frac{2}{N} θres=N2

二、MATLAB仿真案例

有关测角的代码(不完整)如下:
1)该程序首先建立1发8收的雷达系统模型,对目标回波进行处理,得到8个通道的距离-多普勒二维数据;
2)其次,对8个通道的距离-多普勒二维数据进行通道间的非相干积累,进而分别在多普勒维和距离维进行不同类型的CFAR检测,得到目标的距离维、速度维下标;
3)最后,提取目标在8个通道的距离维、速度维下标的对应数据,进行空间FFT,获取目标的角度维下标;
4)最终计算出目标的距离、速度和角度等信息,与仿真设置的目标参数保持一致。

clc;
clear;
close all;%% 雷达系统仿真模型
setParameter();     %  设置雷达系统参数
cancel_On = 0;      %  对消开启或者关闭
chioce = 1;         %  选择均值类CFAR器的类型global para;
% 设置目标参数
set_TarInfo.tarNum = 5;
set_TarInfo.tar_R0 = [500,1000,1500,2000,2500];                 % 目标距离
set_TarInfo.tar_V0 = [5,1,-8,-1,8];                             % 目标速度
set_TarInfo.tar_Ag = [-20,-10,0,10,20];                         % 目标角度
set_TarInfo.Rcs = [0.1,1,5,1,5];                                % 目标rcs
set_TarInfo.SNR = 10;                                           % 信噪比% 雷达发射和接收信号模型
para.Tx_Num = 1;        % 发射阵元数目
para.Rx_Num = 8;        % 接收阵元数目
rawData = RadarSigModel_MultiCh(set_TarInfo);figure(101);plot(abs(rawData(:,1,1)));
xlabel('采样点');ylabel('幅度/mV');title('ADC输入信号');%% 雷达信号处理
global NumADC;
global NumChirp;fs = para.fs;
u = para.u;
PRT = para.PRT;
c = para.c;
B = para.B;
Lambda = para.Lambda;
Rx_Num = para.Rx_Num;Nfft1 = 2^ceil(log2(NumADC));                           % 距离维FFT点数
Nfft2 = 2^ceil(log2(NumChirp));                         % 速度维FFT点数
Nfft3 = 64;                                             % 通道间FFT点数R_point = (fs/Nfft1)*c/(2*u);                           % 距离点精度
delta_R = c/(2*B);                                      % 距离分辨率
V_point = Lambda/(2*PRT*Nfft2);                         % 速度点精度
delta_V = Lambda/(2*PRT*NumChirp);                      % 速度分辨率
Ag_point = 2/Nfft3*180/pi;                              
delta_Ag = 2/Rx_Num*180/pi;                              % 角度分辨率为delta_Ag=Lambda/(N*d),单位rad。其中N为阵元数目,d为阵元间距,所以要转换为角度win1 = hamming(NumADC);       % 加汉明窗
fft_Data = zeros(Nfft1,NumChirp);
fft2D_Data = zeros(Nfft1,Nfft2,Rx_Num);
cfarData_In = 0;
for Ch_Num = 1:Rx_Num% 距离维FFTfor ii = 1:NumChirpfft_Data(:,ii) = fft(rawData(:,ii,Ch_Num).*win1,Nfft1);endif cancel_On == 1% 二次对消H_coef = [1,-1];            % 对消器系数Cancel_Data = Cancellation(fft_Data,H_coef);elseCancel_Data = fft_Data;end% 速度维FFTfft_tempData = MTD(Cancel_Data);fft2D_Data(:,:,Ch_Num) = fft_tempData;% 通道间的非相干积累cfarData_In = cfarData_In+abs(fft_tempData);
endfigure(201);
for Ch_Num = 1:Rx_Numsubplot(2,4,Ch_Num);mesh(mag2db(abs(fft2D_Data(:,:,Ch_Num))));xlabel('速度维');ylabel('距离维');zlabel('信号功率(dB)');title(['通道',num2str(Ch_Num),'-MTD']);view([0 90]);
endfigure(301);
mesh(mag2db(cfarData_In));
xlabel('速度维');ylabel('距离维');zlabel('信号功率(dB)');title('各通道积累结果');
view([0 90]);% 计算平均底噪
noiseData = calcu_Noise(cfarData_In);% 目标CFAR检测
global sensitive;
global P_fa;
global referWin;
global guradWin;
global os_ReferWin;
global os_GuardWin;sensitive = 1;   % 灵敏度
P_fa = 1e-6;    % 虚警概率
referWin = 8;   % 参考窗大小
guradWin = 5;   % 保护窗大小
os_ReferWin = 8;    % os-cfar参考窗
os_GuardWin = 3;    % os-cfar保护窗global CA_MaxNum;
CA_MaxNum = 128;    % 速度维CFAR最大检测目标数% 速度维CFAR
T_start = tic;
cfarData = ML_CFAR(cfarData_In,chioce);
count1_Time = toc(T_start);
disp(['速度维CFAR用时t1=',num2str(count1_Time),'s']);figure(401);
mesh(cfarData);xlabel('速度维');ylabel('距离维');title('CA-CFAR');
view([0 90]);% 距离维CFAR
T_start = tic;
Os_cfarOut = OS_CFAR(cfarData_In,cfarData);
count2_Time = toc(T_start);
disp(['速度维CFAR用时t2=',num2str(count2_Time),'s']);figure(402);
mesh(Os_cfarOut);xlabel('速度维');ylabel('距离维');title('OS-CFAR');
view([0 90]);% 获取目标位置等参数
global get_TarInfo;
[Rpos,Vpos] = find(Os_cfarOut==1);
[Rpos,I] = sort(Rpos);      % 按距离从近到远排序
Vpos = Vpos(I);
TarNum = length(Rpos);TargetA = zeros(1,TarNum);
fft3D_Data = zeros(TarNum,Nfft3);      %  用于获取fft测角数据
win3 = hamming(Rx_Num);
for num = 1:TarNumData_temp = zeros(1,Rx_Num);for Ch_Num = 1:Rx_NumData_temp(Ch_Num) = fft2D_Data(Rpos(num),Vpos(num),Ch_Num);enddeltaPha = mean(phase(Data_temp(2:end)./Data_temp(1:end-1)));     % 求相邻两通道见的相位差,再取平均TargetA(num) = -asind(deltaPha/pi);      % 公式法计算目标角度fft3D_Data(num,:) = abs(fft(Data_temp.*win3',Nfft3));    %  获取3DFFT后取模值% 计算目标角度所在下标[~,Ag_Pos] = max(fft3D_Data(num,:));% 获取目标测量结果get_TarInfo.Range(num) = (Rpos(num)-1)*R_point;if Vpos(num)<=(NumChirp/2)get_TarInfo.Velocity(num) = (Vpos(num)-1)*V_point;elseget_TarInfo.Velocity(num) = -(NumChirp-Vpos(num))*V_point;endif Ag_Pos<=(Nfft3/2)get_TarInfo.Azimu(num) = -(Ag_Pos-1)*Ag_point;elseget_TarInfo.Azimu(num) = (Nfft3-Ag_Pos)*Ag_point;endget_TarInfo.Energy(num) = db(cfarData_In(Rpos(num),Vpos(num))); % 获取目标峰值能量get_TarInfo.SNR(num) = get_TarInfo.Energy(num) - noiseData;disp(['目标',num2str(num),':距离R=',num2str(get_TarInfo.Range(num)),',速度V=',num2str(get_TarInfo.Velocity(num)),',角度A=',num2str(get_TarInfo.Azimu(num))]);disp(['能量:',num2str(get_TarInfo.Energy(num)),',信噪比:',num2str(get_TarInfo.SNR(num))]);
end
get_TarInfo.TarNum = TarNum;

计算结果如下:
目标1:距离R=500.63,速度V=4.977,角度A=-19.6954
能量:79.9525,信噪比:61.125
目标2:距离R=1001.26,速度V=1.0247,角度A=-10.743
能量:76.3724,信噪比:57.5449
目标3:距离R=1496.6202,速度V=-7.9047,角度A=0
能量:75.7472,信噪比:56.9197
目标4:距离R=1997.2501,速度V=-0.95149,角度A=8.9525
能量:51.3515,信噪比:32.524
目标5:距离R=2503.1499,速度V=7.9779,角度A=17.9049
能量:57.9947,信噪比:39.1672

参考文献

[1] Introduction to mmwave Sensing:FMCW Radars.
[2] 许成洋. 相控阵LFMCW雷达信号处理方法及实现[D].西安电子科技大学,2021.
[3] 王成海. 雷达测角方法研究[D].西安电子科技大学,2014.
[4] 雷达测角原理、测角精度、测角分辨率以及3DFFT角度估计算法汇总

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/bicheng/38205.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【动态规划】139. 单词拆分

139. 单词拆分 难度&#xff1a;中等 力扣地址&#xff1a;https://leetcode.cn/problems/word-break/description/ 问题描述 给你一个字符串 s 和一个字符串列表 wordDict 作为字典。如果可以利用字典中出现的一个或多个单词拼接出 s 则返回 true。 注意&#xff1a;不要求字…

INS-GPS组合导航——卡尔曼滤波

系列文章目录 《SAR笔记-卫星轨道建模》 《SAR笔记-卫星轨迹&#xff08;三维建模&#xff09;》 《常用坐标系》 文章目录 前言 一、经典卡尔曼滤波 二、扩展卡尔曼滤波 三、无迹卡尔曼滤波 总结 前言 SAR成像仪器搭载于运动平台&#xff0c;平台的自定位误差将影响SAR…

LeetCode-Leetcode 1120:子树的最大平均值

LeetCode-Leetcode 1120&#xff1a;子树的最大平均值 题目描述&#xff1a;解题思路一&#xff1a;递归解题思路二&#xff1a;0解题思路三&#xff1a;0 题目描述&#xff1a; 给你一棵二叉树的根节点 root&#xff0c;找出这棵树的 每一棵 子树的 平均值 中的 最大 值。 子…

还不知道工业以太网和现场总线区别???

工业以太网 工业以太网是一种专为工业环境设计的网络通信技术&#xff0c;它基于标准的以太网技术&#xff0c;但针对工业应用进行了优化。工业以太网能够适应高温、低温、防尘等恶劣工业环境&#xff0c;采用TCP/IP协议&#xff0c;与IEEE 802.3标准兼容&#xff0c;并在应用层…

Studying-代码随想录训练营day24| 93.复原IP地址、78.子集、90.子集II

第24天&#xff0c;回溯算法part03&#xff0c;牢记回溯三部曲&#xff0c;掌握树形结构结题方法&#x1f4aa; 目录 93.复原IP地址 78.子集 90.子集II 总结 93.复原IP地址 文档讲解&#xff1a;代码随想录复原IP地址 视频讲解&#xff1a;手撕复原IP地址 题目&#xff1…

如何利用python画出AHP-SWOT的战略四边形(四象限图)

在企业或产业发展的相关论文分析中&#xff0c;常用到AHP-SWOT法进行定量分析&#xff0c;形成判断矩阵后&#xff0c;如何构造整洁的战略四边形是分析的最后一个环节&#xff0c;本文现将相关代码发布如下&#xff1a; import mpl_toolkits.axisartist as axisartist import …

解决本机电脑只能通过localhost访问,不能通过127.0.0.1访问

背景问题 有天我启动项目&#xff0c;发现项目连接Mysq总是连接不上&#xff0c;查了url、ip、port、用户名和密码都没有错&#xff0c;就是连接不上mysql数据库&#xff0c;后来通过查找资料发现有多个进程占用3306端口。 pid 6016 是mysqld服务 而pid 9672 是一个叫 svchos…

逆变器--学习笔记(一)

并网&#xff1a; 逆变器中的“并网”指的是逆变器将其产生的交流电与电网同步&#xff0c;并输送到公共电网中。并网逆变器通常用于太阳能发电系统和其他分布式发电系统&#xff0c;将其产生的电能输送到电网供其他用户使用。 THD谐波失真总量: 逆变器的THD&#xff08;Tot…

大模型系列课程学习-基于2080TI-22G魔改卡搭建双卡大模型训练平台(双系统)

1.选择合适的硬件配置 再配置电脑之前&#xff0c;需要确认自己需要的显存大小、主板、内存条、电源、散热等核心配件。经过前期调研&#xff0c;选择的硬件配置如下&#xff1a; &#xff08;1&#xff09;主板&#xff1a;华南X99_F8D(DDR4主板)&#xff0c;因为需要支持双卡…

Python: PyCharm 2023.1 调试

1.设断点 &#xff08;行号对应的红色点&#xff0c;在需要设置断点的代码行与行号之间鼠标点击即可以设置&#xff09; 2.shiftF9,或 altshiftf9 选择文件 或是在菜单 Run->debug "main" 或是在菜单Run->debug 选择文件 &#xff08;鼠标光标放在设置第一个…

DDD学习笔记四

领域模型的构建 基础领域模型的基本组成有名称、属性、关联、职责、事件和异常 发掘领域概念3种策略&#xff1a; 1&#xff09;学习已有系统&#xff0c;重用已有模型 2&#xff09;使用分类标签。分类标签来源于领域&#xff0c;需要我们研究一些资料并做一些提炼。从采用5W…

基于elastic stack的docker-compose部署的ELK与LDAP集成

说明&#xff1a; ldap信息配置到es配置文件上&#xff0c;然后kibana读取es的配置信息 用户与角色的关系通过role_mapping.yml文件配置获取 角色与权限的关系通过elastic stack提供的DevTools或API进行维护 一、前置条件&#xff1a; 1.1 es已开启xpack&#xff08;已开启…

DIY智能音箱:基于STM32的低成本解决方案 (附详细教程)

摘要: 本文详细介绍了基于STM32的智能音箱的设计与实现过程&#xff0c;包括硬件设计、软件架构、语音识别、音乐播放等关键技术。通过图文并茂的方式&#xff0c;结合Mermaid流程图和代码示例&#xff0c;帮助读者深入理解智能音箱的工作原理&#xff0c;并提供实际操作指导。…

汇川CodeSysPLC教程03-2-10 如何组态

大家好&#xff0c;我是阿凡工控分享&#xff0c;本期我们将调整教程的顺序&#xff0c;和粉丝朋友们讨论后&#xff0c;后续我将优先出绿旗标注的部分&#xff0c;便于小白水平的你循序渐进&#xff0c;其他水平的朋友有些需求可能要稍后了&#xff0c;如果有问题请见谅&#…

【Spring成神之路】一次SpringIOC源码之旅,女朋友也成为了Spring大神!

文章目录 一、前言二、前置准备三、IOC容器的使用四、Spring IOC源码解读1. prepareRefresh源码解读2. obtainFreshBeanFactory源码解读2.1 refreshBeanFactory源码解读 3. prepareBeanFactory源码解读4. postProcessBeanFactory源码解读5. invokeBeanFactoryPostProcessors源码…

计算机Java项目|基于SpringBoot的作业管理系统设计与实现

作者主页&#xff1a;编程指南针 作者简介&#xff1a;Java领域优质创作者、CSDN博客专家 、CSDN内容合伙人、掘金特邀作者、阿里云博客专家、51CTO特邀作者、多年架构师设计经验、腾讯课堂常驻讲师 主要内容&#xff1a;Java项目、Python项目、前端项目、人工智能与大数据、简…

Lumos学习王佩丰Excel第三讲:查找替换定位

一、查找和替换 1、按值查找 2、按格式查找 将红色的单元格替换成黄色的单元格&#xff0c;其他格式同理处理。 3、是否开启单元格匹配 若不打开选项卡直接全部替换&#xff0c;会出现“苏州市市”的情况&#xff1b;加入单元格匹配的规则&#xff0c;检索时会以整个单元格内…

运维.Linux下执行定时任务(上:Cron简介与用法解析)

运维专题 Linux下执行定时任务&#xff08;上&#xff1a;Cron简介与用法解析&#xff09; - 文章信息 - Author: 李俊才 (jcLee95) Visit me at CSDN: https://jclee95.blog.csdn.netMy WebSite&#xff1a;http://thispage.tech/Email: 291148484163.com. Shenzhen ChinaAd…

AI陪伴产品的情感设计:从孤独感到恋爱感评分:9/10

本文主要阐述三个话题&#xff1a; 1. 市面上有哪些AI陪伴产品&#xff1f; 2. 我们团队要怎么做&#xff1f; 3. 为什么要做&#xff1f; 市面上有哪些陪伴类产品&#xff1f; Role-play&#xff08;角色扮演&#xff09; 在当前市场上&#xff0c;有不少以角色扮演为核心的…

灵魂拷问,如何应对平行志愿的天坑?

高考填报志愿实行平行志愿&#xff0c;关于这个概念这里不重复说&#xff0c;不了解平行志愿的可以百度补课&#xff0c;这里只说平行志愿的坑挖得实在太大了&#xff0c;以至于很多同学都入坑了&#xff0c;怎么办&#xff1f; &#xff08; 欢迎 评论区 咨询 and 交流....&am…