3.3 学习UVM中的uvm_driver 类分为几步?

文章目录

  • 前言
  • 1. 定义
  • 2. 核心功能
  • 3. 适用场景
  • 4. 使用方法
  • 5. 完整代码示例
    • 5.1 事务类定义
    • 5.2 Driver 类定义
    • 5.3 Sequencer 类定义
    • 5.4 测试平台
  • 6. 代码说明
  • 7. 总结


前言


以下是关于 UVM 中 uvm_driver 的详细解释、核心功能、适用场景、使用方法以及一个完整的代码示例:


1. 定义

uvm_driver 是 UVM(Universal Verification Methodology)中的一个重要组件类,用于驱动事务(transaction)到设计(DUT)的接口。它通常与 uvm_sequencer 配合使用,从 uvm_sequencer 获取事务并将其转换为信号级别的激励,施加到 DUT 的接口上。

uvm_driver 的主要特点:

  • uvm_sequencer 获取事务。
  • 将事务转换为信号级别的激励。
  • 是 UVM 测试平台中与 DUT 交互的关键组件。

2. 核心功能

uvm_driver 提供了以下核心功能:

  • 事务获取:通过 get_next_item()try_next_item() 方法从 uvm_sequencer 获取事务。
  • 事务处理:将事务转换为信号级别的激励,并驱动到 DUT 的接口。
  • 事务完成:通过 item_done() 方法通知 uvm_sequencer 当前事务处理完成。
  • 与 Sequencer 的通信:管理与 uvm_sequencer 的通信,确保事务的顺序和同步。

3. 适用场景

uvm_driver 通常用于以下场景:

  • 接口驱动:用于驱动 DUT 的输入接口(如 AXI、APB、SPI 等)。
  • 协议实现:实现特定的总线协议或通信协议。
  • 激励生成:将高层次的事务转换为低层次的信号激励。

4. 使用方法

使用 uvm_driver 的步骤如下:

  1. 定义类:从 uvm_driver 派生一个类,并定义其属性和方法。
  2. 实现 run_phase:在 run_phase 中实现事务的获取、处理和完成逻辑。
  3. 与 Sequencer 连接:在测试平台中将 uvm_driveruvm_sequencer 连接。
  4. 驱动事务:在 run_phase 中将事务转换为信号激励并驱动到 DUT。

5. 完整代码示例

以下是一个完整的代码示例,展示如何使用 uvm_driver 驱动事务到 DUT 的接口。

5.1 事务类定义

// 定义一个从 uvm_sequence_item 派生的事务类
class my_transaction extends uvm_sequence_item;rand bit [7:0] data;rand bit [3:0] addr;bit           valid;// 注册类到 UVM 工厂`uvm_object_utils(my_transaction)// 构造函数function new(string name = "my_transaction");super.new(name);endfunction// 实现 print 方法virtual function void do_print(uvm_printer printer);printer.print_field("data",  this.data,  8);printer.print_field("addr",  this.addr,  4);printer.print_field("valid", this.valid, 1);endfunctionendclass

5.2 Driver 类定义

// 定义一个从 uvm_driver 派生的驱动类
class my_driver extends uvm_driver #(my_transaction);// 注册类到 UVM 工厂`uvm_component_utils(my_driver)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 实现 run_phasevirtual task run_phase(uvm_phase phase);forever begin// 从 sequencer 获取事务seq_item_port.get_next_item(req);// 打印事务`uvm_info("DRIVER", $sformatf("Driving transaction: data=0x%0h, addr=0x%0h, valid=%b", req.data, req.addr, req.valid), UVM_LOW)// 将事务转换为信号激励(这里用延时模拟驱动过程)#10;// 通知 sequencer 事务处理完成seq_item_port.item_done();endendtaskendclass

5.3 Sequencer 类定义

// 定义一个从 uvm_sequencer 派生的 sequencer 类
class my_sequencer extends uvm_sequencer #(my_transaction);// 注册类到 UVM 工厂`uvm_component_utils(my_sequencer)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunctionendclass

5.4 测试平台

// 测试平台
module testbench;initial begin// 创建 env 类class my_env extends uvm_env;my_driver    driver;my_sequencer sequencer;// 注册类到 UVM 工厂`uvm_component_utils(my_env)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 构建组件virtual function void build_phase(uvm_phase phase);driver    = my_driver::type_id::create("driver", this);sequencer = my_sequencer::type_id::create("sequencer", this);endfunction// 连接组件virtual function void connect_phase(uvm_phase phase);driver.seq_item_port.connect(sequencer.seq_item_export);endfunctionendclass// 创建测试类class my_test extends uvm_test;my_env env;// 注册类到 UVM 工厂`uvm_component_utils(my_test)// 构造函数function new(string name, uvm_component parent);super.new(name, parent);endfunction// 构建组件virtual function void build_phase(uvm_phase phase);env = my_env::type_id::create("env", this);endfunction// 运行测试virtual task run_phase(uvm_phase phase);phase.raise_objection(this);#100; // 模拟测试运行时间phase.drop_objection(this);endtaskendclass// 启动测试initial beginrun_test("my_test");endend
endmodule

6. 代码说明

  • 事务类my_transaction 定义了事务的属性和方法。
  • Driver 类my_driveruvm_driver 派生,实现了事务的获取、处理和完成逻辑。
  • Sequencer 类my_sequencer 用于生成和管理事务。
  • 测试平台my_envmy_test 类用于构建和运行测试环境。

7. 总结

uvm_driver 是 UVM 中用于驱动事务到 DUT 的关键组件。它与 uvm_sequencer 配合使用,负责将高层次的事务转换为低层次的信号激励。以上示例展示了如何定义和使用 uvm_driver,适用于实际的验证场景。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/web/69736.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【云安全】云原生- K8S API Server 未授权访问

API Server 是 Kubernetes 集群的核心管理接口,所有资源请求和操作都通过 kube-apiserver 提供的 API 进行处理。默认情况下,API Server 会监听两个端口:8080 和 6443。如果配置不当,可能会导致未授权访问的安全风险。 8080 端口…

微信小程序配置3 配置sass

1. 在config。json文件里面的setting配置“sass” 2. 改你需要的页面后缀名为scss。 3.查看页面即可看到样式。

16.React学习笔记.React更新机制

一. 发生更新的时机以及顺序## image.png props/state改变render函数重新执行产生新的VDOM树新旧DOM树进行diff计算出差异进行更新更新到真实的DOM 二. React更新流程## React将最好的O(n^3)的tree比较算法优化为O(n)。 同层节点之间相互比较,不跨节点。不同类型的节…

凸包算法—— cad c#二次开发

效果如下&#xff1a; 代码如下&#xff1a; using IfoxDemo; //[assembly: CommandClass(typeof(IFoxDemo.凸包class))]//只允许此类快捷键命令 namespace IFoxDemo {public class 凸包class{public static class 凸包助手{/// <summary>/// 计算点集的凸包并返回多段线…

ctfshow-36D杯

ctfshow-36D杯 给你shell ($obj[secret] ! $flag_md5 ) ? haveFun($flag) : echo "here is your webshell: $shell_path"; 这是个弱比较&#xff0c;输入?give_me_shell前三个是0说明二进制小于1000000就是ASCII的64&#xff0c; 0-32是不可见或非打印字符&…

Linux ARM64 将内核虚拟地址转化为物理地址

文章目录 前言一、通用方案1.1 kern_addr_valid1.2 __pa 二、ARM64架构2.1 AT S1E1R2.2 is_kernel_addr_vaild2.3 va2pa_helper 三、demo演示参考资料 前言 本文介绍一种通用的将内核虚拟地址转化为物理地址的方案以及一种适用于ARM64 将内核虚拟地址转化为物理地址的方案&…

本地部署DeepSeek集成VSCode创建自己的AI助手

文章目录 安装Ollama和CodeGPT安装Ollama安装CodeGPT 下载并配置DeepSeek模型下载聊天模型&#xff08;deepseek-r1:1.5b&#xff09;下载自动补全模型&#xff08;deepseek-coder:1.3b&#xff09; 使用DeepSeek进行编程辅助配置CodeGPT使用DeepSeek模型开始使用AI助手 ✍️相…

云原生AI Agent应用安全防护方案最佳实践(上)

当下&#xff0c;AI Agent代理是一种全新的构建动态和复杂业务场景工作流的方式&#xff0c;利用大语言模型&#xff08;LLM&#xff09;作为推理引擎。这些Agent代理应用能够将复杂的自然语言查询任务分解为多个可执行步骤&#xff0c;并结合迭代反馈循环和自省机制&#xff0…

解锁云电脑爽玩TGA游戏,ToDesk、海马云等多款云电脑游戏横测

作为一名游戏爱好者&#xff0c;我深入研究了云电脑技术在游戏娱乐中的应用。通过对比传统游戏机与云电脑的成本效益&#xff0c;我发现云电脑以其低成本和灵活性脱颖而出。我以自身为例&#xff0c;分析了云电脑如何满足对游戏体验的高要求。在测评中&#xff0c;我选择了ToDe…

新数据结构(4)——Java继承

基本概念 继承的本质&#xff1a;重复使用已经定义好的方法和域&#xff0c;实现代码的重复利用。 使用继承之后&#xff0c;创建的子类可以方便地调用父类中已经定义的方法。 一个继承的例子&#xff1a; 重载和重写 重载 重载&#xff1a;发生在同一个类里&#xff0c;指…

VMware Workstate 的 Ubuntu18 安装 vmware tools(不安装没法共享)

在共享主机路径后&#xff0c;可以在&#xff1a; /mnt/hgfs/下方找到共享的文件。但没有安装vmware tool时是没法共享的。 如何安装vmware tool&#xff0c;网上版本很多。这里记录一下&#xff1a; VMware Workstation 17 Pro&#xff0c;版本&#xff1a;17.6.0 虚拟机系统…

【每日一题 | 2025】2.3 ~ 2.9

个人主页&#xff1a;GUIQU. 归属专栏&#xff1a;每日一题 文章目录 1. 【2.3】P8784 [蓝桥杯 2022 省 B] 积木画2. 【2.4】P8656 [蓝桥杯 2017 国 B] 对局匹配3. 【2.5】[ABC365D] AtCoder Janken 34. 【2.6】P8703 [蓝桥杯 2019 国 B] 最优包含5. 【2.7】P8624 [蓝桥杯 2015…

ARM Cortex-M3/M4 权威指南 笔记【二】架构

一、架构 1.1 架构简介 Cortex-M3/M4 处理器都基于 ARMv7-M 架构。最初的 ARMv7-M 架构是随着 Cortex-M3 处理器一同引入的&#xff0c;而在 Cortex-M4 发布时&#xff0c;架构中又额外增加了新的指令和特性&#xff0c;改进后的架构有时也被称为 ARMV7E-M。要了解 ARM7-M 和…

Java进阶14 TCP日志枚举

Java进阶14 TCP&日志&枚举 一、网络编程TCP Java对基于TCP协议得网络提供了良好的封装&#xff0c;使用Socket对象来代表两端的通信端口&#xff0c;并通过Socket产生IO流来进行网络通信。 1、TCP协议发数据 1.1 构造方法 方法 说明 Socket(InetAddress address…

jenkins备份还原配置文件

下载ThinBackup插件 方式1 从插件市场直接下载 Manage Jenkins->Manage Plugins->可选插件搜索 注意&#xff1a;有时可能因为网络或者版本问题下载不了&#xff0c;好像是默认下载最新版本&#xff0c;可选择手动安装&#xff01; 方式二 手动安装插件 点击查看手…

基于单片机的电子式单项智能电表设计(论文+源码)

1. 系统整体方案设计 本课题为基于单片机的电子式单项智能电表&#xff0c;在此设计如图2.1所示的系统总体架构&#xff0c;其采用STM32单片机作为主控制器&#xff0c;搭配外设HLW8032模块实现对电压&#xff0c;电流&#xff0c;功率因数&#xff0c;电能消耗等参数进行检测…

C#/.NET/.NET Core技术前沿周刊 | 第 24 期(2025年1.27-1.31)

前言 C#/.NET/.NET Core技术前沿周刊&#xff0c;你的每周技术指南针&#xff01;记录、追踪C#/.NET/.NET Core领域、生态的每周最新、最实用、最有价值的技术文章、社区动态、优质项目和学习资源等。让你时刻站在技术前沿&#xff0c;助力技术成长与视野拓宽。 欢迎投稿、推荐…

java项目之基于推荐算法的图书购物网站源码(ssm+mybatis+mysql)

风定落花生&#xff0c;歌声逐流水&#xff0c;大家好我是风歌&#xff0c;混迹在java圈的辛苦码农。今天要和大家聊的是一款基于ssm的基于推荐算法的图书购物网站项目。项目源码以及部署相关请联系风歌&#xff0c;文末附上联系信息 。 项目简介&#xff1a; 基于推荐算法的…

使用亚马逊针对 PyTorch 和 MinIO 的 S3 连接器进行模型检查点处理

2023 年 11 月&#xff0c;Amazon 宣布推出适用于 PyTorch 的 S3 连接器。适用于 PyTorch 的 Amazon S3 连接器提供了专为 S3 对象存储构建的 PyTorch 数据集基元&#xff08;数据集和数据加载器&#xff09;的实现。它支持用于随机数据访问模式的地图样式数据集和用于流式处理…

尚硅谷爬虫note004

一、urllib库 1. python自带&#xff0c;无需安装 # _*_ coding : utf-8 _*_ # Time : 2025/2/11 09:39 # Author : 20250206-里奥 # File : demo14_urllib # Project : PythonProject10-14#导入urllib.request import urllib.request#使用urllib获取百度首页源码 #1.定义一…