时序分析之setup/hold时钟path requirement解疑

目录

一、前言

二、时钟边沿选取

2.1 setup和hold

2.2 path requirement

2.3 同频率同相位

2.4 同频率不同相

2.5 倍频或分频关系

2.5 异步时钟

2.6 无公共周期


一、前言

    在时序报告中,任选一条时序分析结果查看路径详细延时,会看到在source path delay和destination path delay中,最前面的一段延时都是时钟边沿的延时,有时该值和时钟周期相同,有时不一样,该值是如何选取的呢?

同时,在summary中也可以看到Requirement,改值有时如何计算的呢,下面将针对这些就行解释

二、时钟边沿选取

2.1 setup和hold

    先来看看setup,在用户手册906中,setup是对两个时钟延时关系进行最悲观的边沿检查,对时钟的边沿检查默认是发起时钟和捕获时钟边沿间的最小的正delta

    在下面的内容开始前,先解释一个概念公共周期common period,假设时钟clk1周期为T1,时钟clk2周期为T2,则满足下列关系的最小正整数m,n,m*T1=n*T2,m*T1或n*T2的值即为公共周期

以时钟上升沿触发为例,启动时钟clk0的周期为6ns,第一个上升沿在0ns,下降沿在3ns,捕获时钟周期为4ns,第一个上升沿在0ns,下降沿在2ns。

在一个公共周期12ns内,存在两个setup检查,第一个setup(1)的delta值为4ns,第二个setup(2)的delta值为2ns,根据前面的定义选择最小的delta值,即2ns。因此时序分析时,启动时钟的边沿延时为6ns,捕获时钟为8ns

    再看hold的定义,hold检查是确保启动边沿发送数据后,不能够被前一个setup捕获沿捕获,因此要比前一个捕获沿迟到,也即下图hold检查为H1a,H2a。

另一种情况是在setup启动沿的数据无法被捕获沿捕获后,数据能被下一个有效启动时钟沿发送,也即下图hold检查中的H2a,H2b。

    同样的,hold分析也只分析最悲观的hold检查,根据上面的两种情况,可知最悲观的hold检查并不和最悲观的setup检查一一对应。时序分析工具会遍历公共周期内所有最悲观的setup检查对应的hold检查,选取最悲观的作为hold分析值,还是以setup分析的clk1和clk2为例,最大的hold要求时间为0ns,

hold计算

数据要求时间(hold)=捕获沿时间+目标时钟路径时延+时钟不确定度+hold值

数据到达时间(hold)=启动沿时间+源时钟路径时延+数据路径时延

slack(hold)=数据到达时间(hold)-数据要求时间(hold),因此,只由数据到达时间时延大于数据要求时间,slace值才为正值

2.2 path requirement

    在前言中也提到path requirement,path requirement中的即为公共周期内捕获时钟的有效沿时间减去启动时钟的有效沿,setup分析取最小值,hold分析取最大值。

源时钟clk1的rise延时

目的时钟clk2的rise延时

2.3 同频率同相位

假设启动时钟和捕获时钟是同一个时钟clk,周期为6ns,上升沿0ns,下降沿3ns,

则根据前面的分析可知道

Source和Destination中显示了clk1和clk2的波形信息,setup中Requirement为6ns,即启动沿边沿时延为0ns,捕获沿延时为6ns

hold分析中,因clk1和clk2的边沿是对齐的,故requirement为0

2.4 同频率不同相

场景1

假设启动时钟clk1和捕获时钟clk2都是周期为6ns,但上升沿时间clk1在1ns,clk2在0ns,占空比都为50%,此时公共周期仍为6ns。

报告结果如下,setup的requirement为5ns

此时clk1的上升沿rise为1ns,即符合预期

hold的requirement因上升沿非同一时刻,clk1右移了1ns,因此,Rise(clk2)-Rise(clk1)=-1ns

场景2

考虑在一个周期内,clk2的上升沿来的比clk1,如clk1的上升沿在3ns处,clk2的上升沿在1ns处,setup、hold分析如下图,可知此时红色setup的两个边沿已跨越了一个周期时间,绿色为hold的检查边沿

setup中requirement为4ns

hold中requirement的值为Rise(clk2)-Rise(clk1)=1ns-3ns=-2ns

2.5 倍频或分频关系

如由PLL输出的两个clkout0和clkout1分别是参考时钟clk1(周期为6ns的参考时钟)的2分频和2分频时钟,此时clkout0和clkout1仍为同步时钟,周期分别为12ns,18ns,因为相位关系是确定的。此时setup边沿有两条,根据最小值原则,应该要选取红色短线那条。

setup分析中requirement的值为12ns。

hold分析中因为上升沿对齐,仍为0

2.5 异步时钟

clk1周期为6ns,上升沿在0ns处,clk2周期为7ns,上升沿在2ns处,此时根据前面寻找公共周期的公式m*T1=n*T2,可知周期时长为42ns,两个时钟上升沿之差最小在clk1的36ns,clk2的37ns处,因此,setup下的requirement为1ns

hold的值类似,在30ns处二者的上升沿对齐,因为requirement为0

2.6 无公共周期

        在上述的时钟clk1,clk2在一个数量的周期内都能找到公共周期,当两个时钟在1000个周期内仍找不到公共周期,则称为不可扩展时钟(unexpandable),即无公共周期,这种情况需要确认路径是否合理,是否需要设置为异步路径,如下图中一个周期为1994ns,一个为1995ns,在1000个周期内无公共周期。

假设时钟clkout0和clkout1都有PLL的clkin1(周期为4ns)生成的,

module add(clk1,clk2,d,rst,clkin1,clkin2,sel,out );
input clk1,clk2,d,rst,clkin1,clkin2,sel;
output out;
reg ff1,ff2;
wire  ff_c;PLLE2_ADV #(.BANDWIDTH("OPTIMIZED"),  // OPTIMIZED, HIGH, LOW.CLKFBOUT_MULT(8),        // Multiply value for all CLKOUT, (2-64).CLKFBOUT_PHASE(0.0),     // Phase offset in degrees of CLKFB, (-360.000-360.000).// CLKIN_PERIOD: Input clock period in nS to ps resolution (i.e. 33.333 is 30 MHz)..CLKIN1_PERIOD(0.0),.CLKIN2_PERIOD(0.0),// CLKOUT0_DIVIDE - CLKOUT5_DIVIDE: Divide amount for CLKOUT (1-128).CLKOUT0_DIVIDE(1),.CLKOUT1_DIVIDE(2),.CLKOUT2_DIVIDE(4),.CLKOUT3_DIVIDE(5),.CLKOUT4_DIVIDE(1),.CLKOUT5_DIVIDE(1),// CLKOUT0_DUTY_CYCLE - CLKOUT5_DUTY_CYCLE: Duty cycle for CLKOUT outputs (0.001-0.999)..CLKOUT0_DUTY_CYCLE(0.4),.CLKOUT1_DUTY_CYCLE(0.5),.CLKOUT2_DUTY_CYCLE(0.5),.CLKOUT3_DUTY_CYCLE(0.5),.CLKOUT4_DUTY_CYCLE(0.5),.CLKOUT5_DUTY_CYCLE(0.5),// CLKOUT0_PHASE - CLKOUT5_PHASE: Phase offset for CLKOUT outputs (-360.000-360.000)..CLKOUT0_PHASE(0.0),.CLKOUT1_PHASE(0.0),.CLKOUT2_PHASE(0.0),.CLKOUT3_PHASE(0.0),.CLKOUT4_PHASE(0.0),.CLKOUT5_PHASE(0.0),.COMPENSATION("ZHOLD"),   // ZHOLD, BUF_IN, EXTERNAL, INTERNAL.DIVCLK_DIVIDE(1),        // Master division value (1-56)// REF_JITTER: Reference input jitter in UI (0.000-0.999)..REF_JITTER1(0.0),.REF_JITTER2(0.0),.STARTUP_WAIT("FALSE")    // Delay DONE until PLL Locks, ("TRUE"/"FALSE"))PLLE2_ADV_inst (// Clock Outputs: 1-bit (each) output: User configurable clock outputs.CLKOUT0(clkout0),   // 1-bit output: CLKOUT0.CLKOUT1(clkout1),   // 1-bit output: CLKOUT1.CLKOUT2(CLKOUT2),   // 1-bit output: CLKOUT2// Feedback Clocks: 1-bit (each) output: Clock feedback ports.CLKFBOUT(CLKFBOUT), // 1-bit output: Feedback clock// Clock Inputs: 1-bit (each) input: Clock inputs.CLKIN1(clkin1),     // 1-bit input: Primary clock.CLKIN2(clkin2),     // 1-bit input: Secondary clock// Control Ports: 1-bit (each) input: PLL control ports.CLKINSEL(sel), // 1-bit input: Clock select, High=CLKIN1 Low=CLKIN2.RST(rst),           // 1-bit input: Reset// Feedback Clocks: 1-bit (each) input: Clock feedback ports.CLKFBIN()    // 1-bit input: Feedback clock);// End of PLLE2_ADV_inst instantiationalways@(posedge clkout0,negedge rst)if(!rst)ff1<=1'b0;else beginff1<=d;end
assign ff_c=ff1;always@(posedge clkout1,negedge rst)if(!rst)ff2<=5'b0;else beginff2<=ff_c+1'b1;end
assign out=ff2;endmodule

约束情况1,clkout0周期为4ns,clkout1周期为6ns,存在公共周期

create_clock -period 4.000 -name clkin1 -waveform {0.000 2.000} [list [get_ports clk1] [get_ports clkin1]]
create_generated_clock -name clkout0 -source [get_ports clkin1] -edges {1 2 3} -edge_shift {0.000 0.000 0.000} -add -master_clock clkin1 [get_pins PLLE2_ADV_inst/CLKOUT0]
create_generated_clock -name clkout1 -source [get_ports clkin1] -edges {1 2 3} -edge_shift {0.000 0.000 2.000} -add -master_clock clkin1 [get_pins PLLE2_ADV_inst/CLKOUT1]

查看clock interaction可知关系为timed即属于同步时钟

约束情况2,对应的周期clkout0和clkout1分别为10.250ns,13.332ns,此时在1000个周期内无公共周期

create_clock -period 4.000 -name clkin1 -waveform {0.000 2.000} [list [get_ports clk1] [get_ports clkin1]]
create_generated_clock -name clkout0 -source [get_ports clkin1] -edges {1 2 3} -edge_shift {0.000 0.000 6.25} -add -master_clock clkin1 [get_pins PLLE2_ADV_inst/CLKOUT0]
create_generated_clock -name clkout1 -source [get_ports clkin1] -edges {1 2 3} -edge_shift {0.000 0.000 9.332} -add -master_clock clkin1 [get_pins PLLE2_ADV_inst/CLKOUT1]

在右下角的clock pair classification显示关系为no common clock,时钟关系也是Timed(unsafe)

此时的时序分析结果也是不可信的

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/pingmian/5702.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Vue3管理系统-路由设置+表单校验

一、配置路由规则 1.在views 下创建文件夹分类,搭好架子 2.配置路由规则 在router下Index.js import { createRouter, createWebHistory } from vue-routerconst router createRouter({history: createWebHistory(import.meta.env.BASE_URL),routes: [//一级路由//这里可以…

浅谈 HTTPS

文章目录 HTTPS 简介HTTPS 特点与 HTTP 的区别HTTPS 工作流程1. 服务端生成密钥对2. 服务端申请数字证书3. 服务端发送数字证书4. 客户端验证数字证书5. 客户端解析证书内容6. 客户端传送加密信息7. 服务端解密信息8. 双方协商生成会话密钥并交换9. 使用会话密钥进行通信 总结 …

【Java】对象的实例化过程

0、前情 对于经常写代码的同学有没有思考这样一个问题&#xff1a;为什么成员变量有默认值&#xff1f;为什么局部变量必须手动赋值&#xff1f; 先不考虑变量类型&#xff0c;如果没有默认值会怎么样&#xff1f;变量存储的是内存地址对应的任意随机值&#xff0c;如果不对其…

Dom获取属性操作

目录 1. 基本认知 1.1 目的和内容 1.2 什么是DOM 1.3 DOM对象 1.4 DOM树 2. 获取DOM元素对象 2.1 选择匹配到的第一个元素 2.2 选择匹配到的多个元素 2.3 其他获取DOM元素方法 3. 操作元素内容 3.1 元素对象.innerText 属性 3.2 元素对象.innerHTML 属性 4. 操作元…

缓存分享(1)——Guava Cache原理及最佳实践

Guava Cache原理及最佳实践 1. Guava Cache是什么1.1 简介1.2 核心功能1.3 适用场景 2. Guava Cache的使用2.1 创建LoadingCache缓存2.2 创建CallableCache缓存 缓存的种类有很多&#xff0c;需要根据不同的应用场景来选择不同的cache&#xff0c;比如分布式缓存如redis、memca…

设计模式之装饰者模式DecoratorPattern(四)

一、概述 装饰者模式&#xff08;Decorator Pattern&#xff09;是一种用于动态地给一个对象添加一些额外的职责的设计模式。就增加功能来说&#xff0c;装饰者模式相比生成子类更为灵活。装饰者模式是一种对象结构型模式。 装饰者模式可以在不改变一个对象本身功能的基础上增…

linux dma的使用

设备树配置 驱动代码 static void bcm2835_dma_init(struct spi_master *master, struct device *dev) { struct dma_slave_config slave_config; const __be32 *addr; dma_addr_t dma_reg_base; int ret; /* base address in dma-space */ addr of_get_address(master->de…

基于 React 的图形验证码插件

react-captcha-code NPM 地址 &#xff1a; react-captcha-code - npm npm install react-captcha-code --save 如下我自己的封装&#xff1a; import Captcha from "react-captcha-code";type CaptchaType {captchaChange: (captchaInfo: string) > void;code…

目前全球各类遥感卫星详细介绍

一、高分一号 高分一号&#xff08;GF-1&#xff09;是中国高分辨率对地观测系统重大专项&#xff08;简称高分专项&#xff09;的第一颗卫星。“高分专项”于2010年5月全面启动&#xff0c;计划到2020年建成中国自主的陆地、大气和海洋观测系统。 高分一号&#xff08;GF-1&…

React的useEffect

概念&#xff1a;useEffect是一个React Hook函数&#xff0c;组件渲染之后执行的函数 参数1是一个函数&#xff0c;可以把它叫做副作用函数&#xff0c;在函数内部可以放置要执行的操作参数2是一个数组&#xff08;可选参&#xff09;&#xff0c;在数组里放置依赖项&#x…

Linux系统中搭建Mosquitto MQTT服务并实现远程访问本地消息代理进行通信

文章目录 1. Linux 搭建 Mosquitto2. Linux 安装Cpolar3. 创建MQTT服务公网连接地址4. 客户端远程连接MQTT服务5. 代码调用MQTT服务6. 固定连接TCP公网地址7. 固定地址连接测试 今天和大家分享一下如何在Linux系统中搭建Mosquitto MQTT协议消息服务端,并结合Cpolar内网穿透工具…

使用 Python 和 OpenCV 进行实时目标检测的详解

使用到的模型文件我已经上传了&#xff0c;但是不知道能否通过审核&#xff0c;无法通过审核的话&#xff0c;就只能 靠大家自己发挥实力了&#xff0c;^_^ 目录 简介 代码介绍 代码拆解讲解 1.首先&#xff0c;让我们导入需要用到的库&#xff1a; 2.然后&#xff0c;设…

【C语言】指针篇- 深度解析Sizeof和Strlen:热门面试题探究(5/5)

&#x1f308;个人主页&#xff1a;是店小二呀 &#x1f308;C语言笔记专栏&#xff1a;C语言笔记 &#x1f308;C笔记专栏&#xff1a; C笔记 &#x1f308;喜欢的诗句:无人扶我青云志 我自踏雪至山巅 文章目录 一、简单介绍Sizeof和Strlen1.1 Sizeof1.2 Strlen函数1.3 Sie…

快速建站介绍

随着在线业务和电子商务的规模不断扩大&#xff0c;初创公司、个人网站和小型企业都需要快速地搭建自己的网站&#xff0c;以便更好地展示自己、推广产品和服务&#xff0c;并实现在线交易。快速建站已成为在线业务发展的一种主流方式&#xff0c;因为它能够快速地创建一个响应…

uniapp 自定义 App启动图

由于uniapp默认的启动界面太过普通 所以需要自定义个启动图 普通的图片不可以过不了苹果的审核 所以使用storyboard启动图 生成 storyboard 的网站&#xff1a;初雪云-提供一站式App上传发布解决方案

Java学习第02天-类型转换、运算符

目录 类型转换 自动类型转换 表达式的自动类型转换 强制类型转换 运算符 基本运算符 案例解答 连接字符串 自增自减运算符 面试习题 赋值运算符 比较运算符 逻辑运算符 基本逻辑运算符 短路逻辑运算符 三元运算符 基础知识 拓展案例 运算符优先级 读取用户…

UNeXt: a Low-Dose CT denoising UNet model with the modified ConvNeXt block

UNeXt&#xff1a;采用改进的ConvNeXt块的低剂量CT去噪UNet模型 论文链接&#xff1a;https://ieeexplore.ieee.org/document/10095645 项目链接&#xff1a;没找到 Abstract 近几十年来&#xff0c;临床医生广泛使用计算机断层扫描(CT)进行医学诊断。医疗辐射有潜在危险&am…

77、贪心-买卖股票的最佳时机

思路 具体会导致全局最优&#xff0c;这里就可以使用贪心算法。方式如下&#xff1a; 遍历每一位元素找出当前元素最佳卖出是收益是多少。然后依次获取最大值&#xff0c;就是全局最大值。 这里可以做一个辅助数组&#xff1a;右侧最大数组&#xff0c;求右侧最大数组就要从…

ADS1.2中的代码debug的时候不出来代码的解决办法

我总觉得ADS1.2这个软件挺奇怪的&#xff0c;一阵一阵的&#xff0c;我遇到了很多奇怪的问题&#xff0c;这里记录一下吧。 1、新建文件的时候&#xff0c;没法选择这个add to project 解决办法&#xff1a;如果没有已存在的.mcp文件&#xff0c;就先新建project&#xff0c;然…

项目运行到手机端

运行到真机 手机和点到连在同一个wifi网络下面点击hbuiler上面的预览得到一个&#xff0c;network的网址这个时候去在手机访问&#xff0c;那么就可以访问网页了 跨域处理 这个时候可能会访问存在跨域问题 将uniapp的H5版本运行到真机进行调试&#xff0c;主要涉及到跨域问题…