目录
一. 安装软件:
1. 按照安装手册一步一步走
2. 等....
3. 桌面图标
二. 创建工程
1. 双击PDS
2. 点击新建工程 New Project
3. 弹出如下界面 点击 -->> Next
4. 选择工程路径和名称
5. 选择工程类型
6. 剩下的全部next (直到选择芯片)
7. 芯片选择 (如何next)
8. 创建成功
三 . 点亮LED
1. 新建文件
双击此处:编辑
点击第四个创建文件
文件名:
继续点击 ok
创建后如下图:
2. 代码编写
3. 管教映射
3.1 编译程序
3.2 进入配置管脚
按照顺序选择
点击后等待
进入管脚配置
3.3 管脚配置
LED分别为:
时钟:P20 ----50MHZ
按钮:K18
3.4 生成比特流
注意!!!
编辑进入后会是如下界面,单击红色处。
编辑选择自己的 X.sbit 文件
选择芯片(右键) 单击第一个
等待烧录成功
参考资料:
一. 安装软件:
1. 按照安装手册一步一步走
2. 等....
到需要:--> PDS License 时即可不再继续 ( 安装手册16页)
3. 桌面图标
二. 创建工程
1. 双击PDS
会出现此弹窗,直接确定(无视即可)
是因为我们没有安装--> PDS License
2. 点击新建工程 New Project
3. 弹出如下界面 点击 -->> Next
4. 选择工程路径和名称
5. 选择工程类型
【RTL Project】用于创建 RTL 工程。新建的工程可以执行 synthesize,device map, place& route,report timing, report power, generate netlist 及 generate bitstream 等。
【Post-Synthesize Project】用于创建综合后工程。新建的工程可以执行 device map, place& route,report timing,report power, generate netlist 及 generate bitstream 等。
原文链接:https://blog.csdn.net/MYMINIEYE/article/details/131792801
此处我选择的(1)后继续
6. 剩下的全部next (直到选择芯片)
剩下所需要的文件,我们在新建工程后再创建。
7. 芯片选择 (如何next)
8. 创建成功
三 . 点亮LED
1. 新建文件
双击此处:
点击第四个创建文件
文件名:
输入文件名后 ok 就可以了
继续点击 ok
创建后如下图:
2. 代码编写
这里写一个简易的流水灯
`timescale 1ns / 1psmodule LED(input clk , //时钟input rst_n , //复位output reg [7:0] led );parameter delay = 50_000_000/2;reg [31:0] count ;always @(posedge clk)if(!rst_n)count <= 0;else if(count == delay)count<=0;else count <= count + 1;always @(posedge clk)if(!rst_n)led <= 8'b0000_0001;else if(count == delay-1)led[7:0] <= {led[6:0],led[7]};else led <= led;endmodule
3. 管教映射
3.1 编译程序
!!!编译 /综合 /比特流 双击
编译成功后会有一个绿色的勾。 <( ̄︶ ̄)↗[GO!]
3.2 进入配置管脚
按照顺序选择
点击后等待
进入管脚配置
得到如下:
3.3 管脚配置
这里是我查询了原理图,数据手册得到的I/O口
LED分别为:
B2/A2/B3/A3/C5/A5/F7/F8;
时钟:P20 ----50MHZ
按钮:K18
3.4 生成比特流
4. 下载程序
注意!!!
此处我们尝试多次,最后得出结论:需要先连接开发板,再进行以下操作,否则将提示找不到开发板。
进入后会是如下界面,单击红色处。
选择自己的 X.sbit 文件
选择芯片(右键) 单击第一个
等待烧录成功
开发板上便会有对应的程序反应。
参考资料:
1. PDS工具使用视频
2. 【紫光同创国产FPGA教程】——PDS快速使用手册教程
3. 【紫光同创国产FPGA教程】——PDS安装教程