【STM32嵌入式系统设计与开发】——16InputCapture(输入捕获应用)

这里写目录标题

    • STM32资料包: 百度网盘下载链接:链接:https://pan.baidu.com/s/1mWx9Asaipk-2z9HY17wYXQ?pwd=8888 提取码:8888
  • 一、任务描述
  • 二、任务实施
    • 1、工程文件夹创建
    • 2、函数编辑
      • (1)主函数编辑
      • (2)USART1初始化函数(usart1_init())
      • (3)USART数据发送函数( USART1_Send_Data())
      • (4)USART数据发送函数( USART1_IRQHandler())
      • (5)定时器5通道1输入捕获配置函数( TIM5_Cap_Init(uint16_t arr,uint16_t psc))
      • (6)定时器5通道1输入捕获配置函数( TIM5_Cap_Init(uint16_t arr,uint16_t psc))
    • 3、宏定义
      • 输入捕获头文件编辑
    • 4、知识链接
      • (1)输入捕获基础知识
      • (2)输入捕获通俗理解
    • 5、工程测试


STM32资料包:
百度网盘下载链接:链接:https://pan.baidu.com/s/1mWx9Asaipk-2z9HY17wYXQ?pwd=8888
提取码:8888

一、任务描述

在这里插入图片描述

二、任务实施

观察电路图:
TXD(底板) ————————> PA10
RXD(底板) ————————> PA9
DK1 (底板) ————————> PA0
使用USB-AB型数据线,连接15核心板USB口,串口发送接收到的数据。实现了STM32定时器的输入捕获功能,用于捕获外部信号的时间戳。
在这里插入图片描述

1、工程文件夹创建

步骤1:复制工程模板“1_Template”重命名为“13_PassiveBeep”。
在这里插入图片描述
步骤2:修改项目工程名,先删除projects文件夹内除了Template.uvprojx文件外的所有内容并修改为“.uvprojx”。并删除output/obj和output/lst中的所有文件。
在这里插入图片描述
步骤3:运行“PassiveBeep.uvprojx”打开目标选项“Options for Target”中的“Output”输出文件,并修改可执行文件名称为“PWM”点击“OK”保存设置。最后点击“Rebuild”编译该工程生成Usart文件。
请添加图片描述
步骤4:复制2_LEDTest中的"1_LED"和文件复制到hardware中。
在这里插入图片描述
步骤5:在system文件夹中新建一个input文件夹并在该文件夹下新建input.c和input.h两个文件。
在这里插入图片描述
步骤5:工程组文件中添加“led”文件夹内的所有文件。
在这里插入图片描述
步骤6:工程组文件中添加“input”文件夹内的所有文件。
在这里插入图片描述
步骤6:目标选项添加添加头文件路径。
在这里插入图片描述

2、函数编辑

(1)主函数编辑

基于STM32的输入捕获功能,通过定时器5捕获外部信号的下降沿,并计算低电平的总时间,然后通过USART1串口打印输出。
在这里插入图片描述
步骤2:实现一个简单的计时器,并在每秒打印一次计时信息。利用LED状态的改变来指示系统正在运行。

while(1) {if(TIM5CH1_CAPTURE_STA&0X80)        // 检查TIM5CH1_CAPTURE_STA的最高位是否为1,表示成功捕获到了一次下降沿{temp=TIM5CH1_CAPTURE_STA&0X3F;    // 获取TIM5CH1_CAPTURE_STA的低6位,即溢出计数temp*=65536;                      // 将溢出计数左移16位,即乘以65536,得到溢出时间总和temp+=TIM5CH1_CAPTURE_VAL;        // 将总的溢出时间总和与当前捕获值相加,得到总的低电平时间printf("LOW:%d us\r\n",temp);     // 打印总的低电平时间,单位为微秒TIM5CH1_CAPTURE_STA=0;            // 清零TIM5CH1_CAPTURE_STA,准备开启下一次捕获}	 }

在这里插入图片描述

(2)USART1初始化函数(usart1_init())

配置了 PA9 为复用推挽输出,用于 USART1 的 TXD,并配置了 PA10 为浮空输入,用于 USART1 的 RXD。并配置了 USART1 的参数,包括波特率、数据位长度、停止位数、校验位、硬件流控制和工作模式。

/*********************************************************************@Function  : USART1初始化@Parameter : bound : 波特率 @Return    : N/A
**********************************************************************/   	
void usart1_init(uint32_t bound)
{GPIO_InitTypeDef GPIO_InitStructure;             										          // 定义 GPIO 初始化结构体USART_InitTypeDef USART_InitStructure;            										          // 定义 USART 初始化结构体NVIC_InitTypeDef NVIC_InitStructure;              										          // 定义 NVIC 初始化结构体/* 时钟使能:启用 USART1 和 GPIOA 的时钟 */RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1 | RCC_APB2Periph_GPIOA, ENABLE);/* 引脚复用配置 */  // 配置 PA9 为复用推挽输出,用于 USART1 的 TXDGPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;   		                             // 设置 GPIO 端口GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;                                // 设置 GPIO 速度GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; 								 // 设置 GPIO 模式为复用推挽GPIO_Init(GPIOA, &GPIO_InitStructure);          							     // 初始化 GPIO// 配置 PA10 为浮空输入,用于 USART1 的 RXDGPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;                                      // 设置 GPIO 端口GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;                           // 设置 GPIO 模式为浮空输入GPIO_Init(GPIOA, &GPIO_InitStructure);                                          // 初始化 GPIO/* NVIC 中断配置 */ NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;                               // 设置中断通道为 USART1NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 3;                       // 设置抢占优先级为3NVIC_InitStructure.NVIC_IRQChannelSubPriority = 3;                              // 设置子优先级为3NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;                                 // 使能中断通道NVIC_Init(&NVIC_InitStructure);                                                 // 初始化 NVIC/* USART1 配置 */ USART_InitStructure.USART_BaudRate = bound;                                     // 设置波特率USART_InitStructure.USART_WordLength = USART_WordLength_8b;                     // 设置数据位长度为8位USART_InitStructure.USART_StopBits = USART_StopBits_1;                          // 设置停止位为1位USART_InitStructure.USART_Parity = USART_Parity_No;                             // 设置校验位为无校验USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None; // 设置硬件流控制为无USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;                 // 设置工作模式为接收和发送USART_Init(USART1, &USART_InitStructure);                                       // 初始化 USART1/*中断配置*/USART_ITConfig(USART1,USART_IT_RXNE,ENABLE);                                //开接受中断 USART_ITConfig(USART1,USART_IT_IDLE,ENABLE);                                //开空闲中断USART_ITConfig(USART1,USART_IT_TXE,ENABLE);                                 //开发送中断	USART_Cmd(USART1, ENABLE);                                                  //启用USART1USART_DataTypeStr.Usart_Tc_State = SET;	                                    //置位发送允许标志	      
}

在这里插入图片描述

(3)USART数据发送函数( USART1_Send_Data())

初始化PD14端口,并为推挽输出。

/*********************************************************************@Function  : USART数据发送函数@Parameter : Data 	 :要发送的数据缓存.Lenth  :发送长度@Return    : 发送状态   1 :失败   0 :成功
**********************************************************************/
char USART1_Send_Data(char* Data,uint8_t Lenth) 
{uint8_t uNum = 0;if(USART_DataTypeStr.Usart_Tc_State == 1)                       //判断发送标志位是否置1{USART_DataTypeStr.Usart_Tc_State = 0;                       //将发送标志位清零,表示数据已经成功放入缓存,等待发送USART_DataTypeStr.Usart_Tx_Len = Lenth;                     //获取需要发送的数据的长度       for(uNum = 0;uNum < USART_DataTypeStr.Usart_Tx_Len;uNum ++)   //将需要发送的数据放入发送缓存{USART_DataTypeStr.Usart_Tx_Buffer[uNum] = Data[uNum];}USART_ITConfig(USART1,USART_IT_TXE,ENABLE);			            //数据放入缓存后打开发送中断,数据自动发送}return USART_DataTypeStr.Usart_Tc_State;                        //返回放数据的状态值,为1表示发送失败,为0表示发送成功了
}

在这里插入图片描述

(4)USART数据发送函数( USART1_IRQHandler())

/*********************************************************************@Function  : USART1中断服务函数@Parameter : N/A @Return    : N/A
**********************************************************************/
void USART1_IRQHandler(void)                
{uint8_t Clear = Clear;                                                                           // 定义清除标志的变量,并初始化为自身static uint8_t uNum = 0;                                                                          // 静态变量,用于循环计数if(USART_GetITStatus(USART1,USART_IT_RXNE) != RESET)                                                // 判断读数据寄存器是否为非空{USART_ClearFlag(USART1, USART_IT_RXNE);                                                           // 清零读数据寄存器,其实硬件也可以自动清零USART_DataTypeStr.Usart_Rx_Buffer[USART_DataTypeStr.Usart_Rx_Num ++] = \(uint16_t)(USART1->DR & 0x01FF);                                                              // 将接收到的数据存入接收缓冲区(USART_DataTypeStr.Usart_Rx_Num) &= 0xFF;                                                     // 防止缓冲区溢出} else if(USART_GetITStatus(USART1,USART_IT_IDLE) != RESET)   // 检测空闲{Clear = USART1 -> SR;                                                                         // 读SR位Clear = USART1 -> DR;                                                                       // 读DR位,USART_DataTypeStr.Usart_Rx_Len = USART_DataTypeStr.Usart_Rx_Num;                              // 获取数据长度for(uNum = 0; uNum < USART_DataTypeStr.Usart_Rx_Len; uNum ++)          {USART_DataTypeStr.Usart_Rx_Data[uNum] = USART_DataTypeStr.Usart_Rx_Buffer[uNum];      // 将接收到的数据复制到接收数据缓冲区}USART_DataTypeStr.Usart_Rx_Num = 0;                                                           // 清空接收计数器USART_DataTypeStr.Usart_Rc_State = 1;                                                         // 数据读取标志位置1,读取串口数据}if(USART_GetITStatus(USART1,USART_IT_TXE) != RESET)                                                  // 判断发送寄存器是否为非空{USART1->DR = \((USART_DataTypeStr.Usart_Tx_Buffer[USART_DataTypeStr.Usart_Tx_Num ++]) & (uint16_t)0x01FF);    // 发送数据(USART_DataTypeStr.Usart_Tx_Num) &= 0xFF;                                                       // 防止缓冲区溢出if(USART_DataTypeStr.Usart_Tx_Num >= USART_DataTypeStr.Usart_Tx_Len){   USART_ITConfig(USART1,USART_IT_TXE,DISABLE);                                                // 发送完数据,关闭发送中断USART_DataTypeStr.Usart_Tx_Num = 0;                                                         // 清空发送计数器USART_DataTypeStr.Usart_Tc_State = 1;                                                       // 发送标志置1,可以继续发送数据了} 		}}

在这里插入图片描述

(5)定时器5通道1输入捕获配置函数( TIM5_Cap_Init(uint16_t arr,uint16_t psc))

/*********************************************************************@Function  : 定时器5通道1输入捕获配置@Parameter : arr:自动重装值。psc:时钟预分频数@Return    : N/A
**********************************************************************/
void TIM5_Cap_Init(uint16_t arr,uint16_t psc)
{	 GPIO_InitTypeDef GPIO_InitStructure;             // GPIO初始化结构体TIM_TimeBaseInitTypeDef  TIM_TimeBaseStructure;  // 定时器时间基准初始化结构体TIM_ICInitTypeDef  TIM5_ICInitStructure;         // 定时器输入捕获初始化结构体NVIC_InitTypeDef NVIC_InitStructure;             // 中断向量表初始化结构体/* 时钟使能 */RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM5, ENABLE);	         // 使能TIM5的时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);            // 使能GPIOA的时钟/* 端口配置 */GPIO_InitStructure.GPIO_Pin  = GPIO_Pin_0;                       // 使用PA0引脚 GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;                    // 上拉输入  GPIO_Init(GPIOA, &GPIO_InitStructure);                           // 初始化GPIOAGPIO_SetBits(GPIOA,GPIO_Pin_0);                                  // 上拉/* TIM配置 */ TIM_TimeBaseStructure.TIM_Period = arr;                          // 自动重装值 TIM_TimeBaseStructure.TIM_Prescaler = psc;                       // 时钟预分频器   TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV1;          // 时钟分频TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;      // 向上计数TIM_TimeBaseInit(TIM5, &TIM_TimeBaseStructure);                  // 初始化TIM5输入捕获/* 输入捕获配置 */ TIM5_ICInitStructure.TIM_Channel = TIM_Channel_1;                // IC1映射到TI1上TIM5_ICInitStructure.TIM_ICPolarity = TIM_ICPolarity_Falling;    // 下降沿捕获TIM5_ICInitStructure.TIM_ICSelection = TIM_ICSelection_DirectTI; // 映射到TI1TIM5_ICInitStructure.TIM_ICPrescaler = TIM_ICPSC_DIV1;	         // 不分频 TIM5_ICInitStructure.TIM_ICFilter = 0x00;                        // 不滤波TIM_ICInit(TIM5, &TIM5_ICInitStructure);	                       // 初始化TIM5输入捕获/* NVIC 配置 */NVIC_InitStructure.NVIC_IRQChannel = TIM5_IRQn;                  // 中断向量表为TIM5NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 2;        // 先占优先级2NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0;               // 从优先级0NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;                  // 使能中断NVIC_Init(&NVIC_InitStructure);                                  // 初始化NVIC/* 允许中断 */TIM_ITConfig(TIM5,TIM_IT_Update|TIM_IT_CC1,ENABLE);              // 允许更新中断、CC1IE捕获中断	/* 使能TIMx */TIM_Cmd(TIM5,ENABLE); 	
}

在这里插入图片描述

(6)定时器5通道1输入捕获配置函数( TIM5_Cap_Init(uint16_t arr,uint16_t psc))


/*********************************************************************@Function  : 定时器5中断服务程序	 @Parameter : N/A@Return    : N/A
**********************************************************************/
void TIM5_IRQHandler(void)
{ if((TIM5CH1_CAPTURE_STA&0X80)==0)                      // 检查是否成功捕获{	  if (TIM_GetITStatus(TIM5,TIM_IT_Update)!= RESET)		 {	    if(TIM5CH1_CAPTURE_STA&0X40)                   // 如果已经捕获到低电平{if((TIM5CH1_CAPTURE_STA&0X3F)==0X3F)       // 检查低电平是否太长{TIM5CH1_CAPTURE_STA|=0X80;             // 标记成功捕获了一次TIM5CH1_CAPTURE_VAL=0XFFFF;            // 设置捕获值为最大值}elseTIM5CH1_CAPTURE_STA++;                 // 低电平时间累加}	  }if (TIM_GetITStatus(TIM5, TIM_IT_CC1) != RESET)              // 捕获1发生捕获事件{	if(TIM5CH1_CAPTURE_STA&0X40)		                     // 如果已经捕获到一个上升沿 		{	  			TIM5CH1_CAPTURE_STA|=0X80;		                     // 标记成功捕获到一次低电平脉宽TIM5CH1_CAPTURE_VAL=TIM_GetCapture1(TIM5);           // 记录捕获值TIM_OC1PolarityConfig(TIM5,TIM_ICPolarity_Falling);  // 设置为下降沿捕获}else  								                                   // 如果还未开始,第一次捕获下降沿{TIM5CH1_CAPTURE_STA=0;			                     // 清空捕获状态TIM5CH1_CAPTURE_VAL=0;                               // 清空捕获值TIM_SetCounter(TIM5,0);                              // 清空定时器计数器TIM5CH1_CAPTURE_STA|=0X40;		                     // 标记捕获到了下降沿TIM_OC1PolarityConfig(TIM5,TIM_ICPolarity_Rising);	 // 设置为上升沿捕获}		    }			     	    					   }TIM_ClearITPendingBit(TIM5, TIM_IT_CC1|TIM_IT_Update); // 清除中断标志位 
}

在这里插入图片描述

3、宏定义

步骤1:主函数添加所需的头文件,主源文件部分报错消失

//头文件包含
/*************SYSTEM*****************/
/*#include ".\sys\sys.h"*/
#include ".\delay\delay.h"
#include ".\usart\usart.h"
#include ".\timer\timer.h"
#include ".\input\input.h"/***********Hardweare***************/
#include "led.h"

在这里插入图片描述
步骤2:添加函数声明

	uint32_t temp=0; delay_init();                   //启动滴答定时器usart1_init(9600);              //USART1初始化LED_Init();                     //板载LED初始化SystemTinerInit(1000-1,7200-1); //系统时间初始化 定时100msTIM5_Cap_Init(0XFFFF,72-1);	    //以1Mhz的频率计数printf("初始化成功!\r\n");

在这里插入图片描述

步骤2:添加中断源文件所需的头文件

#include "stm32f10x_tim.h"
#include "stm32f10x_rcc.h"
#include "stm32f10x_gpio.h"
#include "misc.h"
#include ".\input\input.h"uint8_t     TIM5CH1_CAPTURE_STA=0;	//输入捕获状态		    				
uint16_t	TIM5CH1_CAPTURE_VAL;	//输入捕获值

在这里插入图片描述
步骤3:添加串口通信宏定义

#define USART_RX_LEN  200               // 接收缓冲区最大长度
#define USART_TX_LEN  200               // 发送缓冲区最大长度
#define UART_NUM      10                // 串口结构体最大对象数量

在这里插入图片描述
步骤4:添加函数声明

void usart1_init(uint32_t bound);
extern USART_DataTypeDef USART_DataTypeStr; 
char USART1_Send_Data(char* Data,uint8_t Lenth);

在这里插入图片描述
步骤5:添加数据类型和宏的头文件

//定义串口数据结构体
typedef struct USART_DataType 
{uint8_t Usart_Rx_Len;          // 接收缓冲区长度uint8_t Usart_Tx_Len;          // 发送缓冲区长度uint8_t Usart_Rx_Num;          // 接收数据计数uint8_t Usart_Tx_Num;          // 发送数据计数uint8_t Usart_Rc_State;        // 接收状态标志位uint8_t Usart_Tc_State;        // 发送状态标志位char Usart_Rx_Buffer[USART_RX_LEN]; // 接收缓冲区char Usart_Tx_Buffer[USART_TX_LEN]; // 发送缓冲区char Usart_Rx_Data[USART_RX_LEN];   // 接收数据char Usart_Tx_Data[USART_TX_LEN];   // 发送数据
} USART_DataTypeDef;

在这里插入图片描述
步骤6:定义一个串口数组变量

USART_DataTypeDef USART_DataTypeStr={0};

在这里插入图片描述

输入捕获头文件编辑

步骤1:创建一个宏定义保护

#ifndef __INPUT_H_
#define __INPUT_H_#endif

在这里插入图片描述
步骤2:添加函数声明

//函数声明
void TIM5_Cap_Init(uint16_t arr,uint16_t psc);

在这里插入图片描述
步骤3:添加数据类型和宏的头文件

#include <stdint.h> extern uint8_t  TIM5CH1_CAPTURE_STA;				    				
extern uint16_t	TIM5CH1_CAPTURE_VAL;	

在这里插入图片描述

4、知识链接

(1)输入捕获基础知识

在这里插入图片描述

(2)输入捕获通俗理解

输入捕获就像是在厨房里用计时器来测量不同食材烹饪的时间。比如,你可以用计时器来记录水煮沸的时间、面团发酵的时间或者烤箱中食物的烹饪时间。这样,你就可以根据时间来控制不同的烹饪过程,确保食物煮熟或者烤熟得恰到好处。输入捕获就像是厨房里的计时器一样,可以帮助你测量外部信号的特征,比如脉冲宽度、频率或时间间隔,用于控制和监测不同的烹饪过程。

5、工程测试

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/790849.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

代码随想录阅读笔记-二叉树【合并二叉树】

题目 给定两个二叉树&#xff0c;想象当你将它们中的一个覆盖到另一个上时&#xff0c;两个二叉树的一些节点便会重叠。 你需要将他们合并为一个新的二叉树。合并的规则是如果两个节点重叠&#xff0c;那么将他们的值相加作为节点合并后的新值&#xff0c;否则不为 NULL 的节…

vue快速入门(四)v-html

注释很详细&#xff0c;直接上代码 上一篇 新增内容 使用v-html将文本以html的方式显示 源码 <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8"><meta name"viewport" content"widthdevice-width, …

【web】nginx+php-fpm云导航项目部署-(简版)

一、yum安装nginx yum -y install nginx 二、php环境安装 2.1 php安装 yum -y install php 2.2 php-fpm安装 yum -y install php-fpm 注&#xff1a;PHP在 5.3.3 之后已经讲php-fpm写入php源码核心了。 2.3 项目依赖的php-xml和php-xmlrpc安装 yum -y install php-…

开源模型应用落地-chatglm3-6b模型小试-入门篇(一)

一、前言 刚开始接触AI时&#xff0c;您可能会感到困惑&#xff0c;因为面对众多开源模型的选择&#xff0c;不知道应该选择哪个模型&#xff0c;也不知道如何调用最基本的模型。但是不用担心&#xff0c;我将陪伴您一起逐步入门&#xff0c;解决这些问题。 在信息时代&#xf…

LeetCode 第391场周赛个人题解

目录 哈沙德数 原题链接 思路分析 AC代码 换水问题 II 原题链接 思路分析 AC代码 交替子数组计数 原题链接 思路分析 AC代码 最小化曼哈顿距离 原题链接 思路分析 AC代码 哈沙德数 原题链接 思路分析 签到题&#xff0c;不说了 AC代码 class Solution:def s…

Firefox 关键词高亮插件的简单实现

目录 1、配置 manifest.json 文件 2、编写侧边栏结构 3、查找关键词并高亮的方法 3-1&#xff09; 如果直接使用 innerHTML 进行替换 4、清除关键词高亮 5、页面脚本代码 6、参考 1、配置 manifest.json 文件 {"manifest_version": 2,"name": &quo…

ES6展开运算符

1.展开可迭代对象&#xff08;简单理解为数组和伪数组&#xff09;&#xff0c;如数组、 NodeList 、arguments。 可以通过展开运算符把一个伪数组转换为数组 const a [...document.body.children]; console.log(a); console.log(Array.isArray(a));2.实现数组的浅拷贝 cons…

wireshark解析grpc/protobuf的方法

1&#xff0c;wireshark需要安装3.20以上 下载地址&#xff1a;https://www.wireshark.org/ 2&#xff0c;如果版本不对&#xff0c;需要卸载&#xff0c;卸载方法&#xff1a; sudo rm -rf /Applications/Wireshark.app sudo rm -rf $HOME/.config/wireshark sudo rm -rf /…

Linux笔记之制作基于ubuntu20.4的最小OpenGL C++开发docker镜像

Linux笔记之制作基于ubuntu20.4的最小OpenGL C开发docker镜像 —— 2024-04-03 夜 code review! 文章目录 Linux笔记之制作基于ubuntu20.4的最小OpenGL C开发docker镜像1.这里把这本书的例程代码放在了Dockerfile所在的文件夹内以使镜像预装例程代码2.创建Dockerfile3.构建Do…

【前端面试3+1】10 npm run dev 发生了什么、vue的自定义指令如何实现、js的数据类型有哪些及其不同、【最长公共前缀】

一、npm run dev发生了什么 运行npm run dev时&#xff0c;通常是在一个基于Node.js的项目中&#xff0c;用来启动开发服务器或者执行一些开发环境相关的任务。下面是一般情况下npm run dev会执行的步骤&#xff1a; 1. 查找package.json中的scripts字段&#xff1a; npm会在项…

redis之主从复制、哨兵模式

一 redis群集有三种模式 主从复制&#xff1a; 主从复制是高可用Redis的基础&#xff0c;哨兵和集群都是在主从复制基础上实现高可用的。 主从复制主要实现了数据的多机备份&#xff0c;以及对于读操作的负载均衡和简单的故障恢复。 缺陷&#xff1a; 故障恢复无法自动化&…

VSCode安装及Python、Jupyter插件安装使用

VSCode 介绍 Visual Studio Code&#xff08;简称VSCode&#xff09;是一个由微软开发的免费、开源的代码编辑器。VSCode是一个轻量级但是非常强大的代码编辑器&#xff0c;它支持多种编程语言&#xff08;如C,C#&#xff0c;Java&#xff0c;Python&#xff0c;PHP&#xff0…

Redis的值有5种数据结构,不同数据结构的使用场景是什么?

文章目录 字符串缓存计数共享Session限速 哈希缓存 列表消息队列文章列表栈队列有限集合 集合标签抽奖社交需求 有序集合排行榜系统 字符串 缓存 &#xff08;1&#xff09;使用原生字符类型缓存 优点&#xff1a;简单直观&#xff0c;每个属性都支持更新操作 缺点&#xff1…

如何在本地搭建集成大语言模型Llama 2的聊天机器人并实现无公网IP远程访问

文章目录 1. 拉取相关的Docker镜像2. 运行Ollama 镜像3. 运行Chatbot Ollama镜像4. 本地访问5. 群晖安装Cpolar6. 配置公网地址7. 公网访问8. 固定公网地址 随着ChatGPT 和open Sora 的热度剧增,大语言模型时代,开启了AI新篇章,大语言模型的应用非常广泛&#xff0c;包括聊天机…

JAVAEE之Cookie/Session

1.Cookie HTTP 协议自身是属于 "无状态" 协议. "无状态" 的含义指的是: 默认情况下 HTTP 协议的客户端和服务器之间的这次通信, 和下次通信之间没有直接的联系. 但是实际开发中, 我们很多时候是需要知道请求之间的关联关系的. 例如登陆网站成功后, 第二…

自定义树形筛选选择组件

先上效果图 思路&#xff1a;刚开始最上面我用了el-input&#xff0c;选择框里面内容用了el-inputel-tree使用&#xff0c;但后面发现最上面那个可以输入&#xff0c;那岂不是可以不需要下拉就可以使用&#xff0c;岂不是违背了写这个组件的初衷&#xff0c;所以后面改成div自定…

基于springboot+vue实现的小区物业管理系统

作者主页&#xff1a;Java码库 主营内容&#xff1a;SpringBoot、Vue、SSM、HLMT、Jsp、PHP、Nodejs、Python、爬虫、数据可视化、小程序、安卓app等设计与开发。 收藏点赞不迷路 关注作者有好处 文末获取源码 技术选型 【后端】&#xff1a;Java 【框架】&#xff1a;spring…

yolov9文献阅读记录

本文记录了yolov9文献的阅读过程&#xff0c;对主要内容进行摘选翻译&#xff0c;帮助理解原理和应用&#xff0c;包括摘要、主要贡献、网络结构、主要模块&#xff0c;问题描述和试验对比等内容。 文献摘要前言摘选主要贡献相关工作可逆性结构辅助监督 问题描述信息瓶颈原理可…

Linux 恶意软件“Migo”针对 Redis 进行加密劫持攻击

安全研究人员遇到了一种新的加密劫持活动&#xff0c;该活动使用一种名为 Migo 的新恶意软件&#xff0c;该恶意软件针对 Linux 主机上的 Redis 服务器。在 Cado Security 研究人员注意到在野外利用 Redis 系统的新命令后&#xff0c;该活动曝光了。 初始访问 根据 Cado secu…

传统模型用腻了?GCN图卷积神经网络一键实现西储大学轴承故障诊断!发文新思路!

​ 声明&#xff1a;文章是从本人公众号中复制而来&#xff0c;因此&#xff0c;想最新最快了解各类智能优化算法及其改进的朋友&#xff0c;可关注我的公众号&#xff1a;强盛机器学习&#xff0c;不定期会有很多免费代码分享~ 目录 数据介绍与故障诊断讲解 1.数据…