Linux第76步_“gpio子系统”下的LED驱动

使用新字符设备驱动的一般模板和“gpio子系统”,以及设备树,驱动LED。

1、添加“gpio_led”节点

打开虚拟机上“VSCode”,点击“文件”,点击“打开文件夹”,点击“zgq”,点击“linux”,点击“atk-mp1”,点击“linux”,点击“my_linux”,点击“stm32mp157d-atk.dts”。

stm32mp157d-atk.dts文件如下:

/dts-v1/;

#include "stm32mp157.dtsi"

#include "stm32mp15xd.dtsi"

#include "stm32mp15-pinctrl.dtsi"

#include "stm32mp15xxaa-pinctrl.dtsi"

#include "stm32mp157-m4-srm.dtsi"

#include "stm32mp157-m4-srm-pinctrl.dtsi"

#include "stm32mp157d-atk.dtsi"

/ {

model = "STMicroelectronics STM32MP157D eval daughter";

/*model属性用于描述开发板的名字或设备模块的信息*/

compatible = "st,stm32mp157d-ed1", "st,stm32mp157";

/*compatible属性用于将设备和驱动绑定起来*/

chosen {  /*chosen子节点*/

stdout-path = "serial0:115200n8";

};

aliases {    /*aliases子节点*/

serial0 = &uart4;

    /*给&uart4起个别名叫“serial0”*/

};

reserved-memory {

gpu_reserved: gpu@f6000000 {  /*gpu节点标签为gpu_reserved*/

reg = <0xf6000000 0x8000000>;

no-map;

};

optee_memory: optee@fe000000 {

reg = <0xfe000000 0x02000000>;

no-map;

};

};

stm32mp1_led {

compatible = "atkstm32mp1-led";

/*compatible属性用于将设备stm32mp1_led和驱动“.ko”绑定起来*/

status = "okay";

reg = <0X50000A28 0X04 /* RCC_MP_AHB4ENSETR */

0X5000A000 0X04 /* GPIOI_MODER */

0X5000A004 0X04 /* GPIOI_OTYPER */

0X5000A008 0X04 /* GPIOI_OSPEEDR */

0X5000A00C 0X04 /* GPIOI_PUPDR */

0X5000A018 0X04 >; /* GPIOI_BSRR */

};

};

&cpu1{

cpu-supply = <&vddcore>;

};

&gpu {

contiguous-area = <&gpu_reserved>;

status = "okay";

};

&optee {

status = "okay";

};

修改后的stm32mp157d-atk.dts文件如下:

/dts-v1/;

#include "stm32mp157.dtsi"

#include "stm32mp15xd.dtsi"

#include "stm32mp15-pinctrl.dtsi"

#include "stm32mp15xxaa-pinctrl.dtsi"

#include "stm32mp157-m4-srm.dtsi"

#include "stm32mp157-m4-srm-pinctrl.dtsi"

#include "stm32mp157d-atk.dtsi"

/ {

model = "STMicroelectronics STM32MP157D eval daughter";

/*model属性用于描述开发板的名字或设备模块的信息*/

compatible = "st,stm32mp157d-ed1", "st,stm32mp157";

/*compatible属性用于将设备和驱动绑定起来*/

chosen {  /*chosen子节点*/

stdout-path = "serial0:115200n8";

};

aliases {    /*aliases子节点*/

serial0 = &uart4;

    /*给&uart4起个别名叫“serial0”*/

};

reserved-memory {

gpu_reserved: gpu@f6000000 {  /*gpu节点标签为gpu_reserved*/

reg = <0xf6000000 0x8000000>;

no-map;

};

optee_memory: optee@fe000000 {

reg = <0xfe000000 0x02000000>;

no-map;

};

};

stm32mp1_led {

compatible = "atkstm32mp1-led";

/*compatible属性用于将设备stm32mp1_led和驱动“.ko”绑定起来*/

status = "okay";

reg = <0X50000A28 0X04 /* RCC_MP_AHB4ENSETR */

0X5000A000 0X04 /* GPIOI_MODER */

0X5000A004 0X04 /* GPIOI_OTYPER */

0X5000A008 0X04 /* GPIOI_OSPEEDR */

0X5000A00C 0X04 /* GPIOI_PUPDR */

0X5000A018 0X04 >; /* GPIOI_BSRR */

};

gpio_led {

compatible = "zgq,led";

status = "okay";

led-gpio = <&gpioi 0 GPIO_ACTIVE_LOW>;

};

};

&cpu1{

cpu-supply = <&vddcore>;

};

&gpu {

contiguous-area = <&gpu_reserved>;

status = "okay";

};

&optee {

status = "okay";

};

2、编译设备树

1)、在VSCode终端,输入“make dtbs回车”,执行编译设备树

2)、输入“ls arch/arm/boot/uImage -l

查看是否生成了新的“uImage”文件

3)、输入“ls arch/arm/boot/dts/stm32mp157d-atk.dtb -l

查看是否生成了新的“stm32mp157d-atk.dtb”文件

拷贝输出的文件:

4)、输入“cp arch/arm/boot/uImage /home/zgq/linux/atk-mp1/linux/bootfs/ -f回车”,执行文件拷贝,准备烧录到EMMC;

5)、输入“cp arch/arm/boot/dts/stm32mp157d-atk.dtb /home/zgq/linux/atk-mp1/linux/bootfs/ -f回车”,执行文件拷贝,准备烧录到EMMC

6)、输入“cp arch/arm/boot/uImage /home/zgq/linux/tftpboot/ -f回车”,执行文件拷贝,准备从tftp下载;

7)、输入“cp arch/arm/boot/dts/stm32mp157d-atk.dtb /home/zgq/linux/tftpboot/ -f回车”,执行文件拷贝,准备从tftp下载;

8)、输入“ls -l /home/zgq/linux/atk-mp1/linux/bootfs/回车”,查看“/home/zgq/linux/atk-mp1/linux/bootfs/”目录下的所有文件和文件夹

9)、输入“ls -l /home/zgq/linux/tftpboot/回车”,查看“/home/zgq/linux/tftpboot/”目录下的所有文件和文件夹

输入“chmod 777 /home/zgq/linux/tftpboot/stm32mp157d-atk.dtb回车

给“stm32mp157d-atk.dtb”文件赋予可执行权限

输入“chmod 777 /home/zgq/linux/tftpboot/uImage回车 ,给“uImage”文件赋予可执行权限

输入“ls /home/zgq/linux/tftpboot/回车”,查看“/home/zgq/linux/tftpboot/”目录下的所有文件和文件夹

3、查看“gpio_led节点

启动开发板,从网络下载程序

输入“root

输入“cd /proc/device-tree/回车

切换到“/sys/firmware/devicetree/base”目录

输入“ls”查看“gpio_led”是否存在

输入“cd gpio_led/回车

输入“ls”查看“/sys/firmware/devicetree/base/gpio_led”目录下的文件和文件夹

输入“cat compatible回车

输入“cat led-gpio回车

输入“cat name回车

输入“cat status回车

4、创建MyGpioLED目录

输入“cd /home/zgq/linux/Linux_Drivers/回车

切换到“/home/zgq/linux/Linux_Drivers/

输入“ls回车”,查看“/home/zgq/linux/Linux_Drivers/

输入“mkdir MyGpioLED回车”,创建“MyGpioLED”目录

输入“ls回车”,查看“/home/zgq/linux/Linux_Drivers/

5、LED.c文件如下:

#include "LED.h"

#include <linux/gpio.h>

//使能gpio_request(),gpio_free(),gpio_direction_input(),

//使能gpio_direction_output(),gpio_get_value(),gpio_set_value()

#include <linux/of_gpio.h>

//使能of_gpio_named_count(),of_gpio_count(),of_get_named_gpio()

struct MyGpioLED_dev strMyGpioLED;

int Get_gpio_num(void);

int led_GPIO_request(void);

void led_switch(u8 sta,struct MyGpioLED_dev *dev);

int Get_gpio_num(void)

{

  int ret = 0;

  const char *str;

  /* 设置LED所使用的GPIO */

  /* 1、获取设备节点:strMyGpioLED */

  strMyGpioLED.nd = of_find_node_by_path("/gpio_led");

  //path="/gpio_led,使用“全路径的节点名“在“stm32mp157d-atk.dts“中查找节点“gpio_led”

  //返回值:返回找到的节点,如果为NULL,表示查找失败。

  if(strMyGpioLED.nd == NULL) {

    printk("gpio_led node not find!\r\n");

    return -EINVAL;

  }

  /* 2.读取status属性 */

  ret = of_property_read_string(strMyGpioLED.nd, "status", &str);

  //在gpio_led节点中,status = "okay";

  //指定的设备节点strMyGpioLED.nd

  //proname="status",给定要读取的属性名字

  //out_string=str:返回读取到的属性值

  //返回值:0,读取成功,负值,读取失败。

  if(ret < 0) return -EINVAL;

  if (strcmp(str, "okay")) return -EINVAL;

  //strcmp(s1,s2),当s1<s2时,返回值为负数

  //strcmp(s1,s2),当s1>2时,返回值为正数

  //strcmp(s1,s2),当s1=s2时,返回值为0

  /* 3、获取compatible属性值并进行匹配 */

  ret = of_property_read_string(strMyGpioLED.nd, "compatible", &str);

  //在gpio_led节点中,compatible = "zgq,led";

  //指定的设备节点strMyGpioLED.nd

  //proname="compatible",给定要读取的属性名字

  //out_string=str:返回读取到的属性值

  //返回值:0,读取成功,负值,读取失败。

  if(ret < 0) {

    printk("gpio_led node: Failed to get compatible property\n");

    return -EINVAL;

  }

  if (strcmp(str, "zgq,led")) {

    printk("gpio_led node: Compatible match failed\n");

    return -EINVAL;

  }

  /* 4、 获取设备树中的gpio属性,得到LED所使用的LED编号 */

  strMyGpioLED.led_gpio = of_get_named_gpio(strMyGpioLED.nd, "led-gpio", 0);

  //在gpio_led节点中,led-gpio = <&gpioi 0 GPIO_ACTIVE_LOW>

  //np=strMyGpioLED.nd,指定的“设备节点”

  //propname="led-gpio",给定要读取的属性名字

  //Index=0,给定的GPIO索引为0

  //返回值:正值,获取到的GPIO编号;负值,失败。

  if(strMyGpioLED.led_gpio < 0) {

    printk("can't get led-gpio");

    return -EINVAL;

  }

  printk("led-gpio num = %d\r\n", strMyGpioLED.led_gpio);

  //打印结果为:“led-gpio num = 128“

  //因为GPIO编号是从0开始的,GPIOI端口的序号是8,每个端口有16个IO口,因此GPIOI0的编号为8*16=128

  return 0;

}

int led_GPIO_request(void)

{

  int ret = 0;

  /* 5.向gpio子系统申请使用“gpio编号” */

  ret = gpio_request(strMyGpioLED.led_gpio, "LED-GPIO");

  //gpio=strMyGpioLED.led_gpio,指定要申请的“gpio编号”

  //Iabel="LED-GPIO",给这个gpio引脚设置个名字为"LED-GPIO"

  //返回值:0,申请“gpio编号”成功;其他值,申请“gpio编号”失败;

  if (ret) {

    printk(KERN_ERR "strMyGpioLED: Failed to request led-gpio\n");

    return ret;

  }

  /* 6、设置PI0为输出,并且输出高电平,默认关闭LED灯 */

  ret = gpio_direction_output(strMyGpioLED.led_gpio, 1);

  //gpio=strMyGpioLED.led_gpio,指定的“gpio编号”,这里是128,对应的是GI0引脚

  //value=1,设置引脚输出高电平

  //返回值:0,设置“引脚输出为vakued的值”成功;负值,设置“引脚输出为vakued的值”失败。

  if(ret < 0) {

    printk("can't set gpio!\r\n");

  }

  return 0;

}

void led_switch(u8 sta,struct MyGpioLED_dev *dev)

{

if(sta == LEDON) {

    gpio_set_value(dev->led_gpio, 0); /* 打开LED灯 */

}

else if(sta == LEDOFF) {

    gpio_set_value(dev->led_gpio, 1); /* 关闭LED灯 */

}

}

6、LED.h程序如下:

#ifndef __LED_H

#define __LED_H

#include <linux/types.h>

/*

数据类型重命名

使能bool,u8,u16,u32,u64, uint8_t, uint16_t, uint32_t, uint64_t

使能s8,s16,s32,s64,int8_t,int16_t,int32_t,int64_t

*/

#include <linux/cdev.h> //使能cdev结构

#include <linux/cdev.h> //使能class结构和device结构

#include <linux/of.h>   //使能device_node结构

#define LEDOFF 0 /* 关灯 */

#define LEDON 1 /* 开灯 */

struct MyGpioLED_dev{

  dev_t devid; /*声明32位变量devid用来给保存设备号 */

  int major; /* 主设备号 */

  int minor; /* 次设备号 */

  struct cdev  cdev; /*字符设备结构变量cdev */

  struct class *class; /* 类 */

  struct device *device;/*设备*/

  struct device_node *nd;/* 设备节点 */

  int led_gpio; /* led所使用的GPIO编号 */

};

extern struct MyGpioLED_dev strMyGpioLED;

extern int Get_gpio_num(void);

extern int led_GPIO_request(void);

extern void led_switch(u8 sta,struct MyGpioLED_dev *dev);

#endif

7、LEDInterface.c程序如下:

#include "LED.h"

#include <linux/types.h>

//数据类型重命名

//使能bool,u8,u16,u32,u64, uint8_t, uint16_t, uint32_t, uint64_t

//使能s8,s16,s32,s64,int8_t,int16_t,int32_t,int64_t

#include <linux/ide.h>

//使能copy_from_user(),copy_to_user()

#include <linux/module.h>

//使能MyGpioLED_init(),MyGpioLED_exit()

#include <linux/gpio.h>

//使能gpio_request(),gpio_free(),gpio_direction_input(),

//gpio_direction_output(),gpio_get_value(),gpio_set_value()

#define MyGpioLED_CNT    1   //定义设备数量为1

#define MyGpioLED_NAME  "MyGpioLEDName"//定义设备的名字

/* 打开设备 */

static int MyGpioLED_open(struct inode *inode, struct file *filp)

{

  filp->private_data = &strMyGpioLED; /* 设置私有数据 */

  printk("MyGpioLED_open!\r\n");

  return 0;

}

/* 从设备读取数据,保存到首地址为buf的数据块中,长度为cnt个字节 */

//file结构指针变量flip表示要打开的设备文件

//buf表示用户数据块的首地址

//cnt表示用户数据的长度,单位为字节

//loff_t结构指针变量offt表示“相对于文件首地址的偏移”

static ssize_t MyGpioLED_read(struct file *filp, char __user *buf, size_t cnt, loff_t *offt)

{

  return 0;

}

/* 向设备写数据,将数据块首地址为buf的数据,长度为cnt个字节,发送给用户 */

//file结构指针变量flip表示要打开的设备文件

//buf表示用户数据块的首地址

//cnt表示用户数据的长度,单位为字节

//loff_t结构指针变量offt表示“相对于文件首地址的偏移”

static ssize_t MyGpioLED_write(struct file *filp, const char __user *buf, size_t cnt, loff_t *offt)

{

  int ret = 0;

  unsigned char databuf[1];

  unsigned char ledstat;

  ret = copy_from_user(databuf, buf, cnt);

  if(ret <0){

    printk("kernel write failed!\r\n");

    ret = -EFAULT;

  }

  ledstat = databuf[0];/*获取到应用传递进来的开关灯状态*/

  led_switch(ledstat,filp->private_data);/*执行开灯或执行关灯*/

  return ret;

}

/* 关闭/释放设备 */

static int MyGpioLED_release(struct inode *inode, struct file *filp)

{

  /* 用户实现具体功能 */

  printk("MyGpioLED_release!\r\n");

  return 0;

}

/*声明file_operations结构变量MyCharDevice_fops*/

/*它是指向设备的操作函数集合变量*/

const struct file_operations MyGpioLED_fops = {

  .owner = THIS_MODULE,

  .open = MyGpioLED_open,

  .read = MyGpioLED_read,

  .write = MyGpioLED_write,

  .release = MyGpioLED_release,

};

/*驱动入口函数 */

static int  __init MyGpioLED_init(void)

{

  int ret;

  ret=Get_gpio_num();

  if(ret < 0) return ret;//读引脚编号

/* 1、申请“gpio编号”*/

  ret=led_GPIO_request();//申请“gpio编号” 

  if(ret < 0) return ret;//向gpio子系统申请使用“gpio编号” 失败

  /*2、申请设备号*/

  strMyGpioLED.major=0;

  if(strMyGpioLED.major)/*如果指定了主设备号*/

  {

    strMyGpioLED.devid = MKDEV(strMyGpioLED.major, 0);

    //输入参数strMyGpioLED.major为“主设备号”

    //输入参数0为“次设备号”,大部分驱动次设备号都选择0

    //将strMyGpioLED.major左移20位,再与0相或,就得到“Linux设备号”

    ret=register_chrdev_region(strMyGpioLED.devid, MyGpioLED_CNT, MyGpioLED_NAME);

    //strMyGpioLED.devid表示起始设备号

    //MyGpioLED_CNT表示次设备号的数量

    //MyGpioLED_NAME表示设备名

    if(ret < 0)

      goto free_gpio;

  }

  else

  { /* 没有定义设备号 */

    ret=alloc_chrdev_region(&strMyGpioLED.devid, 0, MyGpioLED_CNT,MyGpioLED_NAME);

    /* 申请设备号 */

    //strMyGpioLED.devid:保存申请到的设备号

    //0:次设备号的起始地址

    //MyGpioLED_CNT:要申请的次设备号数量;

    //MyGpioLED_NAME:表示“设备名字”

    if(ret < 0)

      goto free_gpio;

    strMyGpioLED.major = MAJOR(strMyGpioLED.devid);

    /* 获取分配号的主设备号 */

    //输入参数strMyGpioLED.devid为“Linux设备号”

    //将strMyGpioLED.devid右移20位得到“主设备号”

    strMyGpioLED.minor = MINOR(strMyGpioLED.devid);

    /* 获取分配号的次设备号 */

    //输入参数strMyGpioLED.devid为“Linux设备号”

    //将strMyGpioLED.devid与0xFFFFF相与后得到“次设备号”

  }

  /*3、注册字符设备*/

  strMyGpioLED.cdev.owner = THIS_MODULE;

  //使用THIS_MODULE将owner指针指向当前这个模块

  cdev_init(&strMyGpioLED.cdev,&MyGpioLED_fops);

  //注册字符设备,初始化“字符设备结构变量strMyGpioLED.cdev”

  //strMyGpioLED.cdev是等待初始化的结构体变量

  //MyGpioLED_fops就是字符设备文件操作函数集合

  /*4、添加字符设备*/

  ret=cdev_add(&strMyGpioLED.cdev,strMyGpioLED.devid,MyGpioLED_CNT);

  //添加字符设备

  /*&strMyGpioLED.cdev表示指向要添加的字符设备,即字符设备结构strMyGpioLED.cdev变量*/

  //strMyGpioLED.devid表示设备号

  //MyGpioLED_CNT表示需要添加的设备数量

  if(ret < 0 ) //添加字符设备失败

    goto del_register;

  printk("dev id major = %d,minor = %d\r\n", strMyGpioLED.major, strMyGpioLED.minor);

  printk("MyGpioLED_init is ok!!!\r\n");

  /*5、自动创建设备节点 */

  strMyGpioLED.class =class_create(THIS_MODULE, MyGpioLED_NAME);

  if (IS_ERR(strMyGpioLED.class)){

    goto del_cdev;

  }

  /*6、创建设备 */

  strMyGpioLED.device = device_create(strMyGpioLED.class, NULL, strMyGpioLED.devid, NULL, MyGpioLED_NAME);

  //创建设备

  //设备要创建在strMyGpioLED.class类下面

  //NULL表示没有父设备

  //strMyGpioLED.devid是设备号;

  //参数drvdata=NULL,设备没有使用数据

  //MyGpioLED_NAME是设备名字

  //如果设置fmt=MyGpioLED_NAME 的话,就会生成/dev/MyGpioLED_NAME设备文件。

  //返回值就是创建好的设备。

  if (IS_ERR(strMyGpioLED.device)){

    goto destroy_class;

  }

  return 0;

destroy_class:

  class_destroy(strMyGpioLED.class);

  //删除类

  //strMyGpioLED.class就是要删除的类

del_cdev:

   cdev_del(&strMyGpioLED.cdev);

   //删除字符设备

   //&strMyGpioLED.cdev表示指向需要删除的字符设备,即字符设备结构strMyGpioLED.cdev变量

del_register:

  unregister_chrdev_region(strMyGpioLED.devid, MyGpioLED_CNT);

  /* 释放设备号 */

  //strMyGpioLED.devid:需要释放的起始设备号

  //MyGpioLED_CNT:需要释放的次设备号数量;

free_gpio://申请设备号失败

  /*释放gpio编号*/

  gpio_free(strMyGpioLED.led_gpio);

  return -EIO;

}

/*驱动出口函数 */

static void __exit MyGpioLED_exit(void)

{

  /*1、删除字符设备*/

  cdev_del(&strMyGpioLED.cdev);

  /*删除字符设备*/

  /*&strMyGpioLED.cdev表示指向需要删除的字符设备,即字符设备结构&strMyGpioLED.cdev变量*/

  /*2、 释放设备号 */

  unregister_chrdev_region(strMyGpioLED.devid, MyGpioLED_CNT);

  /*释放设备号 */

  //strMyGpioLED.devid:需要释放的起始设备号

  //MyGpioLED_CNT:需要释放的次设备号数;

  /*3、 删除设备 */

  device_destroy(strMyGpioLED.class, strMyGpioLED.devid);

  //删除创建的设备

  //strMyGpioLED.class是要删除的设备所处的类

  //strMyGpioLED.devid是要删除的设备号

  

  /*4、删除类*/

  class_destroy(strMyGpioLED.class);

  //删除类

  //strMyGpioLED.class就是要删除的类

  /*5、释放gpio编号*/

  gpio_free(strMyGpioLED.led_gpio);

}

module_init(MyGpioLED_init);

//指定MyGpioLED_init()为驱动入口函数

module_exit(MyGpioLED_exit);

//指定MyGpioLED_exit()为驱动出口函数

MODULE_AUTHOR("Zhanggong");//添加作者名字

MODULE_LICENSE("GPL");//LICENSE采用“GPL协议”

MODULE_INFO(intree,"Y");

//去除显示“loading out-of-tree module taints kernel.”

8、LED_APP.c文件如下:

#include "stdio.h"

#include "unistd.h"

#include "sys/types.h"

#include "sys/stat.h"

#include "fcntl.h"

#include "stdlib.h"

#include "string.h"

//APP运行命令:./LED_APP filename <1>|<0>如果是1表示打开LED,如果是0表示关闭LED

#define LEDOFF 0 /* 关灯 */

#define LEDON 1 /* 开灯 */

/*

参数argc: argv[]数组元素个数

参数argv[]:是一个指针数组

返回值: 0 成功;其他 失败

*/

int main(int argc, char *argv[])

{

  int fd, retvalue;

  char *filename;

  unsigned char databuf[1];

  if(argc != 3)

  {

    printf("Error Usage!\r\n");

    return -1;

  }

  //argv[]是指向输入参数“./LED_App” “/dev/LMyNewLEDName” “1”

  filename = argv[1];

  //argv[1]指向字符串“/dev/MyNewLEDName”

  fd = open(filename, O_RDWR);

  //如果打开“/dev/MyNewLEDName”文件成功,则fd为“文件描述符”

  //fd=0表示关灯; fd=1表示开灯;

  if(fd < 0)

  {

    printf("Can't open file %s\r\n", filename);

    return -1;

  }

  databuf[0]= atoi(argv[2]); /* 写入的数据,是数字的,表示打开或关闭 */

  retvalue = write(fd, databuf, 1);

  //将databuf[]中前1个字节发送给用户

  //返回值大于0表示写入的字节数;

  //返回值等于0表示没有写入任何数据;

  //返回值小于0表示写入失败

  if(retvalue < 0)

  {

    printf("write file %s failed!\r\n", filename);

    close(fd);

    //fd表示要关闭的“文件描述符”

    //返回值等于0表示关闭成功

    //返回值小于0表示关闭失败

    return -1;

  }

  /* 关闭设备 */

  retvalue = close(fd);

  //fd表示要关闭的“文件描述符”

  //返回值等于0表示关闭成功

  //返回值小于0表示关闭失败

  if(retvalue < 0)

  {

    printf("Can't close file %s\r\n", filename);

    return -1;

  }

  return 0;

}

9、Makefile文件如下:

KERNELDIR := /home/zgq/linux/atk-mp1/linux/my_linux/linux-5.4.31

#使用“:=”将其后面的字符串赋值给KERNELDIR

CURRENT_PATH := $(shell pwd)

#采用“shell pwd”获取当前打开的路径

#使用“$(变量名)”引用“变量的值”

MyAPP := LED_APP

MyGpioLED_Module-objs = LEDInterface.o LED.o

obj-m := MyGpioLED_Module.o

CC := arm-none-linux-gnueabihf-gcc

drv:

$(MAKE) -C $(KERNELDIR) M=$(CURRENT_PATH) modules

app:

$(CC)  $(MyAPP).c  -o $(MyAPP)

clean:

$(MAKE) -C $(KERNELDIR) M=$(CURRENT_PATH) clean

rm $(MyAPP)

install:

sudo cp *.ko $(MyAPP) /home/zgq/linux/nfs/rootfs/lib/modules/5.4.31/ -f

10、添加“c_cpp_properties.json

按下“Ctrl+Shift+P”,打开VSCode控制台,然后输入“C/C++:Edit Configurations(JSON)”,打开以后会自动在“.vscode ”目录下生成一个名为“c_cpp_properties.json” 的文件。

修改c_cpp_properties.json内容如下所示:

{

    "configurations": [

        {

            "name": "Linux",

            "includePath": [

                "${workspaceFolder}/**",

                "/home/zgq/linux/atk-mp1/linux/my_linux/linux-5.4.31",

                "/home/zgq/linux/Linux_Drivers/MyGpioLED",

                "/home/zgq/linux/atk-mp1/linux/my_linux/linux-5.4.31/arch/arm/include",

                "/home/zgq/linux/atk-mp1/linux/my_linux/linux-5.4.31/include",

                "/home/zgq/linux/atk-mp1/linux/my_linux/linux-5.4.31/arch/arm/include/generated"

            ],

            "defines": [],

            "compilerPath": "/usr/bin/gcc",

            "cStandard": "gnu11",

            "cppStandard": "gnu++14",

            "intelliSenseMode": "gcc-x64"

        }

    ],

    "version": 4

}

11、编译

输入“make clean回车

输入“make drv回车

输入“make app回车

输入“make install回车

输入“ls /home/zgq/linux/nfs/rootfs/lib/modules/5.4.31/ -l回车”产看是存在“LED_APP和MyDtsLED_Module.ko

12、测试

启动开发板,从网络下载程序

输入“root

输入“cd /lib/modules/5.4.31/回车

切换到“/lib/modules/5.4.31/”目录

注意:“lib/modules/5.4.31/在虚拟机中是位于“/home/zgq/linux/nfs/rootfs/”目录下,但在开发板中,却是位于根目录中

输入“ls -l”查看“MyGpioLED_Module.ko和LED_APP”是否存在

输入“depmod”,驱动在第一次执行时,需要运行“depmod”

输入“modprobe MyGpioLED_Module.ko”,加载“MyGpioLED_Module.ko”模块

输入“lsmod”查看有哪些驱动在工作

输入“ls /dev/MyGpioLEDName -l回车”,发现节点文件“/dev/MyGpioLEDName

输入“./LED_APP /dev/MyGpioLEDName 1回车”执行开灯

输入“./LED_APP /dev/MyGpioLEDName 0回车”执行关灯

输入“rmmod MyGpioLED_Module.ko”,卸载“MyGpioLED_Module.ko”模块

注意:输入“rmmod MyGpioLED_Module”也可以卸载“MyGpioLED_Module.ko”模块

输入“lsmod”查看有哪些驱动在工作。

输入“ls /dev/MyGpioLEDName -l回车”,查询节点文件“/dev/MyGpioLEDName”是否存在

LED点灯的四种方法测试小结:

1、旧字符设备下的点灯,采用的是静态设备号,可以理解教学的用心。

2、新字符设备下的点灯,采用的动态设备号,是一种进步。

3、设备树下的点灯,新意有一点,意义不太大。

4、gpio子系统下的点灯,才是我们要掌握的字符驱动开发方法。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/738831.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

重学SpringBoot3-ErrorMvcAutoConfiguration类

更多SpringBoot3内容请关注我的专栏&#xff1a;《SpringBoot3》 重学SpringBoot3-ErrorMvcAutoConfiguration类 ErrorMvcAutoConfiguration类的作用工作原理定制 ErrorMvcAutoConfiguration示例代码1. 添加自定义错误页面2.自定义错误控制器3. ErrorAttributes定制错误信息 结…

Java学习记录(十七)IO流(三)

转换流&#xff08;在字节流中想使用字符流的方法时使用&#xff09; 转换流是字节流和字符流之间的桥梁&#xff0c;转换流本身其实就是字符流所以可以使用字符流里的相关方法&#xff0c;通过InputStreamReader字符转换输入流能将字节流转化为字符流输入到内存中&#xff0c…

YOLOv8改进 | 注意力机制 | 添加YOLO-Face提出的SEAM注意力机制优化物体遮挡检测(附代码 + 修改教程)

一、本文介绍 本文给大家带来的改进机制是由YOLO-Face提出能够改善物体遮挡检测的注意力机制SEAM,SEAM(Spatially Enhanced Attention Module)注意力网络模块旨在补偿被遮挡面部的响应损失,通过增强未遮挡面部的响应来实现这一目标,其希望通过学习遮挡面和未遮挡面之间的…

.net core框架

ASP.NET Core 入门 跨平台开源框架 B/S 类与方法 Console 部分称为“类”。 类“拥有”方法&#xff1b;或者可以说方法存在于类中。 WriteLine() 部分称为“方法”。 想要使用方法就要知道方法在哪里 —————————— 执行流 一次执行一段 ASP.NET Core 是什么东西…

VikeCTF 2024

VikeCTF 2024 WP 由于环境原因很多靶场的过程图片没法复现截图。。。 web Ponies 算是一个签到题&#xff0c;看到源码这里其实是一个快速跳转的程序&#xff0c;我们看到tag.src直接进行访问&#xff0c;可以看到源码里面&#xff0c;从里面分析拿到flag function recurs…

流畅的Python(十八)-使用asyncio包处理并发

一、核心要义 1. 对比一个简答的多线程程序和对应的asyncio版,说明多线程和异步任务之间的关系 2. 网络下载的异步版 3. 在异步编程中,与回调相比&#xff0c;协程显著提升性能的方式 二、代码示例 1、相关知识点 #!/usr/bin/env python # -*- coding: utf-8 -*- # Time …

算法50:动态规划专练(力扣514题:自由之路-----4种写法)

题目: 力扣514 &#xff1a; 自由之路 . - 力扣&#xff08;LeetCode&#xff09; 题目的详细描述&#xff0c;直接打开力扣看就是了&#xff0c;下面说一下我对题目的理解: 事例1&#xff1a; 输入: ring "godding", key "gd" 输出: 4. 1. ring的第…

RStudio更换R语言版本

今天下载R语言用于读取.xlsx文件的readxl包时&#xff0c;RStudio提示该包是使用R-4.3.3版本构建&#xff0c;而我现在使用的是R-4.3.2版本&#xff0c;所以需要升级一下R语言版本&#xff0c;这里先下载最新版本的R语言&#xff0c; 下载地址&#xff1a;The Comprehensive R…

Jenkins自动构建 CI/CD流水线学习笔记(从入门到入土,理论+示例)

文章目录 1、什么是Jenkins的流水线?2、流水线语法2.1、声明式流水线2.2、脚本化流水线 3、流水线示例3.1、使用声明式流水线的语法编写的 Jenkinsfile 文件3.2、Pipeline 各种语言示例3.2.1 Java&#xff1a;3.2.2 Node.js / JavaScript3.2.3 Python 4、一套完整的Devops Jen…

【ICCV21】Swin Transformer: Hierarchical Vision Transformer using Shifted Windows

文章目录 0. Abstract1. Introduction2. Related Work3. Method3.1 Overall Architecture3.2 Shifted Window based Self-Attention3.3 Architecture Variants 4. Experiments4.1 Image Classification on ImageNet-1K4.2 Object Detection on COCO4.3 Semantic Segmentation o…

基于JavaWeb开发的springboot网咖管理系统[附源码]

基于JavaWeb开发的springboot网咖管理系统[附源码] &#x1f345; 作者主页 央顺技术团队 &#x1f345; 欢迎点赞 &#x1f44d; 收藏 ⭐留言 &#x1f4dd; &#x1f345; 文末获取源码联系方式 &#x1f4dd; &#x1f345; 查看下方微信号获取联系方式 承接各种定制系统 &a…

【办公类-40-02】20240311 python模仿PPT相册功能批量插入照片,更改背景颜色 (家长会系列二)

作品展示——用Python插入PPT相册 背景需求&#xff1a; 马上就要家长会&#xff0c;我负责做会议前的照片滚动PPT&#xff0c;通常都是使用PPT的相册功能批量导入照片&#xff0c; 生成给一个新的PPT文件 更改背景颜色 设置4秒间隔&#xff0c;应用到全部 保存&#xff0c;改…

Hadoop伪分布式配置--没有DataNode或NameNode

一、原因分析 重复格式化NameNode 二、解决方法 1、输入格式化NameNode命令&#xff0c;找到data和name存放位置 ./bin/hdfs namenode -format 2、删除data或name&#xff08;没有哪个删哪个&#xff09; sudo rm -rf data 3、重新格式化NameNode 4、重新启动即可。

sheng的学习笔记- AI-类别不平衡问题

目录&#xff1a;sheng的学习笔记-AI目录-CSDN博客 什么是类别不平衡问题 类别不平衡&#xff08;class-imbalance&#xff09;&#xff0c;也叫数据倾斜&#xff0c;数据不平衡&#xff0c;就是指分类任务中不同类别的训练样例数目差别很大的情况。 例如有998个反例&#xf…

vue3全局引入element-plus后怎么使用Message进行消息提示

全局引入 main.ts import element-plus/dist/index.css 在需要使用提示的组件中引入 import { ElMessage } from element-plus 使用举例

Verilog刷题笔记37

题目&#xff1a;3位二进制加法器 Now that you know how to build a full adder, make 3 instances of it to create a 3-bit binary ripple-carry adder. The adder adds two 3-bit numbers and a carry-in to produce a 3-bit sum and carry out. To encourage you to actua…

@Conditional注解详解

目录 一、Conditional注解作用 二、Conditional源码解析 2.1 Conditional源码 2.2 Condition源码 三、Conditional案例 3.1 Conditional作用在类上案例 3.1.1 配置文件 3.1.2 Condition实现类 3.1.3 Bean内容类 3.1.4 Config类 3.1.5 Controller类 3.1.6 测试结果 3…

Visual grounding-视觉定位任务介绍

&#x1f380;个人主页&#xff1a; https://zhangxiaoshu.blog.csdn.net &#x1f4e2;欢迎大家&#xff1a;关注&#x1f50d;点赞&#x1f44d;评论&#x1f4dd;收藏⭐️&#xff0c;如有错误敬请指正! &#x1f495;未来很长&#xff0c;值得我们全力奔赴更美好的生活&…

Spring Cloud Alibaba微服务从入门到进阶(一)

Springboot三板斧 1、加依赖 2、写注解 3、写配置 Spring Boot Actuator Spring Boot Actuator 是 Spring Boot 提供的一系列用于监控和管理应用程序的工具和服务。 SpringBoot导航端点 其中localhost:8080/actuator/health是健康检查端点&#xff0c;加上以下配置&#xf…

基于element-plus的Dialog选择控件

翻看之前工程师写的vue2的代码&#xff0c;很多都是复制、粘贴&#xff0c;也真是搞不懂&#xff0c;明明可以写一个控件&#xff0c;不就可以重复使用。很多前端总喜欢element搞一下&#xff0c;ant-design也搞一下&#xff0c;有啥意义&#xff0c;控件也不是自己写的&#x…