FPGA——三速自适应以太网设计(2)GMII与RGMII接口

FPGA——以太网设计(2)GMII与RGMII

  • 基础知识
    • (1)GMII
    • (2)RGMII
    • (3)IDDR
  • GMII设计转RGMII接口
  • 跨时钟传输模块

基础知识

(1)GMII

GMII:发送端时钟由MAC端提供
在这里插入图片描述
下降沿变化数据,上升沿采集数据
在这里插入图片描述

(2)RGMII

时钟是双沿采样

RGMII:ETH_RXCTL线同时表示有效和错误,有效和错误位相异或得到。
在这里插入图片描述
时钟偏移,方便采样
在这里插入图片描述

(3)IDDR

IDDR的三种模式
在这里插入图片描述

GMII设计转RGMII接口

千兆网:
输入和输出的时候,GMII的8位数据,先在时钟上升沿通过RGMII接口处理低四位,再在时钟的下降沿继续处理高四位。

百兆网:
只在时钟的上升沿通过RGMII接口处理低四位,下个时钟上升沿再处理高四位。所以在上升沿和下降沿都输入输出同一个数据就行。

module RGMII_Tri(/*--------rgmii port--------*/input           i_rxc           ,input  [3 :0]   i_rxd           ,input           i_rx_ctl        ,output          o_txc           ,output [3 :0]   o_txd           ,output          o_tx_ctl        ,/*--------data port--------*/output          o_rxc           ,input   [7 :0]  i_send_data     ,input           i_send_valid    ,output  [7 :0]  o_rec_data      ,output          o_rec_valid     ,output          o_rec_end       ,output  [1:0]   o_speed         ,output          o_link          
);reg  [7 :0]         ri_send_data =0 ;
reg                 ri_send_valid=0 ;
reg  [7 :0]         ro_rec_data = 0 ; 
reg                 ro_rec_valid= 0 ; 
reg                 ro_rec_end  = 0 ; 
reg                 r_cnt_10_100= 0 ; 
reg                 r_tx_cnt_10_100 = 0 ;
reg  [1 :0]         ro_speed=0      ;
reg                 ro_link =0      ;
reg  [1 :0]         r_rec_valid=0   ;wire                w_rxc_bufr      ;
wire                w_rxc_bufio     ;
wire                w_rxc_idelay    ;
wire [3 :0]         w_rxd_ibuf      ;
wire                w_rx_ctl_ibuf   ;
(* mark_debug = "true" *)wire [7 :0]         w_rec_data      ;
(* mark_debug = "true" *)wire [1 :0]         w_rec_valid     ;
wire [3 :0]         w_send_d1       ;
wire [3 :0]         w_send_d2       ;
wire                w_send_valid    ;
wire                i_speed1000     ;
wire                w_txc           ;  assign w_txc    = ~w_rxc_bufr;
assign o_rxc    = w_rxc_bufr;
assign o_speed  = ro_speed   ;
assign o_link   = ro_link    ;
assign i_speed1000 = ro_speed == 2'b10 ? 1 : 0;
assign o_rec_data  = ro_rec_data ;
assign o_rec_valid = ro_rec_valid;
assign o_rec_end   = ro_rec_end  ;OBUF #(.DRIVE           (12             ),   // Specify the output drive strength.IOSTANDARD      ("DEFAULT"      ), // Specify the output I/O standard.SLEW            ("SLOW"         ) // Specify the output slew rate
) OBUF_inst (.O               (o_txc          ),     // Buffer output (connect directly to top-level port).I               (w_txc          )      // Buffer input 
);// ODDR #(
//    .DDR_CLK_EDGE    ("OPPOSITE_EDGE"    ), // "OPPOSITE_EDGE" or "SAME_EDGE" 
//    .INIT            (1'b0               ),    // Initial value of Q: 1'b0 or 1'b1
//    .SRTYPE          ("SYNC"             ) // Set/Reset type: "SYNC" or "ASYNC" 
// ) ODDR_inst (
//    .Q               (o_txc              ),   // 1-bit DDR output
//    .C               (w_rxc_bufr         ),   // 1-bit clock input
//    .CE              (1                  ), // 1-bit clock enable input
//    .D1              (0                  ), // 1-bit data input (positive edge)
//    .D2              (1                  ), // 1-bit data input (negative edge)
//    .R               (0                  ),   // 1-bit reset
//    .S               (0                  )    // 1-bit set
// );BUFIO BUFIO_inst (.O               (w_rxc_bufio   ),.I               (i_rxc  ) 
);BUFR #(.BUFR_DIVIDE     ("BYPASS"       ), .SIM_DEVICE      ("7SERIES"      )  
)
BUFR_inst (.O               (w_rxc_bufr     ), .CE              (1              ), .CLR             (0              ), .I               (i_rxc   )  
);// (* IODELAY_GROUP = "rgmii" *)
// IDELAYCTRL IDELAYCTRL_U0 (
//    .RDY             (RDY),       // 1-bit output: Ready output
//    .REFCLK          (REFCLK), // 1-bit input: Reference clock input
//    .RST             (RST)        // 1-bit input: Active high reset input
// );// (* IODELAY_GROUP = "rgmii" *)
// IDELAYE2 #(
//    .CINVCTRL_SEL            ("FALSE"        ),          // Enable dynamic clock inversion (FALSE, TRUE)
//    .DELAY_SRC               ("IDATAIN"      ),           // Delay input (IDATAIN, DATAIN)
//    .HIGH_PERFORMANCE_MODE   ("FALSE"        ), // Reduced jitter ("TRUE"), Reduced power ("FALSE")
//    .IDELAY_TYPE             ("FIXED"        ),           // FIXED, VARIABLE, VAR_LOAD, VAR_LOAD_PIPE
//    .IDELAY_VALUE            (0              ),                // Input delay tap setting (0-31) 0.15625
//    .PIPE_SEL                ("FALSE"        ),              // Select pipelined mode, FALSE, TRUE
//    .REFCLK_FREQUENCY        (200.0          ),        // IDELAYCTRL clock input frequency in MHz (190.0-210.0, 290.0-310.0).
//    .SIGNAL_PATTERN          ("DATA"         )          // DATA, CLOCK input signal
// )
// IDELAYE2_inst (
//    .CNTVALUEOUT             (), // 5-bit output: Counter value output
//    .DATAOUT                 (w_rxc_idelay   ),         // 1-bit output: Delayed data output
//    .C                       (),                     // 1-bit input: Clock input
//    .CE                      (),                   // 1-bit input: Active high enable increment/decrement input
//    .CINVCTRL                (),       // 1-bit input: Dynamic clock inversion input
//    .CNTVALUEIN              (),   // 5-bit input: Counter value input
//    .DATAIN                  (),           // 1-bit input: Internal delay data input
//    .IDATAIN                 (i_rxc          ),         // 1-bit input: Data input from the I/O
//    .INC                     (),                 // 1-bit input: Increment / Decrement tap delay input
//    .LD                      (),                   // 1-bit input: Load IDELAY_VALUE input
//    .LDPIPEEN                (),       // 1-bit input: Enable PIPELINE register to load data input
//    .REGRST                  ()            // 1-bit input: Active-high reset tap-delay input
// );genvar rxd_i;
generate for(rxd_i = 0 ;rxd_i < 4 ;rxd_i = rxd_i + 1)
beginIBUF #(.IBUF_LOW_PWR    ("TRUE"        ),  .IOSTANDARD      ("DEFAULT"     )) IBUF_U (.O               (w_rxd_ibuf[rxd_i] ),     // Buffer output.I               (i_rxd[rxd_i]      )      // Buffer input (connect directly to top-level port));IDDR #(.DDR_CLK_EDGE   ("SAME_EDGE_PIPELINED"    ),.INIT_Q1        (1'b0                     ),.INIT_Q2        (1'b0                     ),.SRTYPE         ("SYNC"                   ) )   IDDR_u0     (   .Q1             (w_rec_data[rxd_i]          ), // 1-bit output for positive edge of clock .Q2             (w_rec_data[rxd_i +4]       ), // 1-bit output for negative edge of clock.C              (w_rxc_bufio                ),  .CE             (1                          ),.D              (w_rxd_ibuf[rxd_i]          ),  .R              (0                          ),   .S              (0                          )   );
end
endgenerateIBUF #(.IBUF_LOW_PWR    ("TRUE"                    ),  .IOSTANDARD      ("DEFAULT"                 )
)           
IBUF_U          
(           .O               (w_rx_ctl_ibuf             ),     // Buffer output.I               (i_rx_ctl                  )      // Buffer input (connect directly to top-level port)
);IDDR #(.DDR_CLK_EDGE   ("SAME_EDGE_PIPELINED"      ),.INIT_Q1        (1'b0                       ),.INIT_Q2        (1'b0                       ),.SRTYPE         ("SYNC"                     ) 
)   
IDDR_u0     
(   .Q1             (w_rec_valid[0]             ), // 1-bit output for positive edge of clock .Q2             (w_rec_valid[1]             ), // 1-bit output for negative edge of clock.C              (w_rxc_bufio                ),  .CE             (1                          ),.D              (w_rx_ctl_ibuf              ),  .R              (0                          ),   .S              (0                          )   
);always@(posedge w_rxc_bufr)
beginif(!i_speed1000 && (&w_rec_valid))r_cnt_10_100 <= r_cnt_10_100 + 1;else r_cnt_10_100 <= 'd0;
end always@(posedge w_rxc_bufr)
beginif(&w_rec_valid && i_speed1000)ro_rec_valid <= 'd1;else ro_rec_valid <= r_cnt_10_100;
endalways@(posedge w_rxc_bufr)
beginif(i_speed1000)ro_rec_data <= w_rec_data;else ro_rec_data <= {w_rec_data[3:0],ro_rec_data[7:4]};
endalways@(posedge w_rxc_bufr)
beginr_rec_valid <= w_rec_valid;
endalways@(posedge w_rxc_bufr)
beginif(!w_rec_valid && r_rec_valid)ro_rec_end <= 'd1;else ro_rec_end <= 'd0;
endalways@(posedge w_rxc_bufr)
beginif(w_rec_valid == 'd0) beginro_speed <= w_rec_data[2:1];ro_link  <= w_rec_data[0];end else beginro_speed <= ro_speed;ro_link  <= ro_link ;end
end/*---------rgmii send--------*/
always@(posedge w_rxc_bufr)
beginri_send_data  <= i_send_data;ri_send_valid <= i_send_valid;
endalways@(posedge w_rxc_bufr)
beginif(i_send_valid)r_tx_cnt_10_100 <= r_tx_cnt_10_100 + 1;else r_tx_cnt_10_100 <= 'd0;
endgenvar txd_i;
generate for(txd_i = 0 ;txd_i < 4 ; txd_i = txd_i + 1)
beginassign w_send_d1[txd_i] = i_speed1000 ? i_send_data[txd_i]     :  r_tx_cnt_10_100 == 0 ? i_send_data[txd_i] : ri_send_data[txd_i + 4];assign w_send_d2[txd_i] = i_speed1000 ? i_send_data[txd_i + 4] : r_tx_cnt_10_100 == 0 ? i_send_data[txd_i] : ri_send_data[txd_i + 4];ODDR #(.DDR_CLK_EDGE    ("OPPOSITE_EDGE"       ),.INIT            (1'b0                  ),.SRTYPE          ("SYNC"                ) ) ODDR_u (.Q               (o_txd[txd_i]          ),  .C               (w_txc                 ),.CE              (1                     ),.D1              (w_send_d1[txd_i]      ),    .D2              (w_send_d2[txd_i]      ),    .R               (0                     ),.S               (0                     ) );
end
endgenerateassign w_send_valid = i_speed1000 ? i_send_valid : i_send_valid | ri_send_valid;ODDR#(.DDR_CLK_EDGE    ("OPPOSITE_EDGE"       ),.INIT            (1'b0                  ),.SRTYPE          ("SYNC"                ) 
)
ODDR_uu0 
(.Q               (o_tx_ctl              ),  .C               (w_txc                 ),.CE              (1                     ),.D1              (w_send_valid          ),    .D2              (w_send_valid          ),    .R               (0                     ),.S               (0                     ) 
);endmodule

跨时钟传输模块

module RGMII_RAM(input               i_udp_stack_clk ,input  [7 :0]       i_GMII_data     ,input               i_GMII_valid    ,output [7 :0]       o_GMII_data     ,output              o_GMII_valid    ,input               i_rxc           ,input               i_speed1000     ,output  [7 :0]      o_send_data     ,output              o_send_valid    ,input   [7 :0]      i_rec_data      ,input               i_rec_valid     ,input               i_rec_end       
);/***************function**************//***************parameter*************//***************port******************/             /***************mechine***************//***************reg*******************/
reg  [10:0]             r_ram_addr_A=0      ;
reg  [10:0]             r_rec_len   =0      ;
reg                     r_ram_en_B  =0      ;
reg                     r_ram_en_B_1d=0      ;
reg                     r_ram_en_B_2d=0      ;
reg  [10:0]             r_ram_addr_B=0      ;
reg                     r_fifo_wr_en=0      ;
reg                     r_fifo_rd_en=0      ;
reg                     ri_rec_en   =0      ;
reg                     r_read_run  =0      ;
reg  [10:0]             r_read_cnt  =0      ;
reg  [7 :0]             ro_GMII_data =0     ;
reg                     ro_GMII_valid=0     ;
reg  [10:0]             r_tx_ram_addr_A=10  ;
reg  [10:0]             r_tx_len=10         ;
reg                     r_tx_fifo_wren=0    ;
reg                     ri_GMII_valid=0     ;
reg                     r_tx_ram_en_B=0     ;
reg  [10:0]             r_tx_ram_addr_B=0   ;
reg                     r_tx_fifo_rden=0    ;
reg                     r_tx_read_run=0     ;
reg  [10:0]             r_tx_cnt =0         ;
reg  [7 :0]             ro_send_data =0     ;
reg                     ro_send_valid=0     ;
reg                     w_rxc=0             ;
reg                     ri_rec_end=0        ;
reg                     ro_send_valid_1d=0  ;/***************wire******************/
wire [7 :0]             w_ram_dout_B    ;
wire [10:0]             w_fifo_dout     ;
wire                    w_fifo_full     ;
wire                    w_fifo_empty    ;
wire [7 :0]             w_tx_ram_dout   ;
wire [10:0]             w_tx_fifo_dout  ;
wire                    w_tx_fifo_full  ;
wire                    w_tx_fifo_empty ;/***************component*************/
RAM_8_1600 RAM_8_1600_U0 (.clka             (i_rxc          ),    // input wire clka.ena              (i_rec_valid    ),      // input wire ena.wea              (i_rec_valid    ),      // input wire [0 : 0] wea.addra            (r_ram_addr_A   ),  // input wire [10 : 0] addra.dina             (i_rec_data     ),    // input wire [7 : 0] dina.douta            (               ),  // output wire [7 : 0] douta.clkb             (i_udp_stack_clk),    // input wire clkb.enb              (r_ram_en_B     ),      // input wire enb.web              (0              ),      // input wire [0 : 0] web.addrb            (r_ram_addr_B   ),  // input wire [10 : 0] addrb.dinb             (0              ),    // input wire [7 : 0] dinb.doutb            (w_ram_dout_B   )  // output wire [7 : 0] doutb
);FIFO_ASYNC_11_64 FIFO_ASYNC_11_64_u0 (.wr_clk           (i_rxc          ),  // input wire wr_clk.rd_clk           (i_udp_stack_clk),  // input wire rd_clk.din              (r_rec_len      ),        // input wire [10 : 0] din.wr_en            (r_fifo_wr_en   ),    // input wire wr_en.rd_en            (r_fifo_rd_en   ),    // input wire rd_en.dout             (w_fifo_dout    ),      // output wire [10 : 0] dout.full             (w_fifo_full    ),      // output wire full.empty            (w_fifo_empty   )    // output wire empty
);RAM_8_1600 RAM_8_1600_tx_U0 (.clka             (i_udp_stack_clk    ),    // input wire clka.ena              (i_GMII_valid       ),      // input wire ena.wea              (i_GMII_valid       ),      // input wire [0 : 0] wea.addra            (r_tx_ram_addr_A    ),  // input wire [10 : 0] addra.dina             (i_GMII_data        ),    // input wire [7 : 0] dina.douta            (),  // output wire [7 : 0] douta.clkb             (i_rxc              ),    // input wire clkb.enb              (r_tx_ram_en_B      ),      // input wire enb.web              (0                  ),      // input wire [0 : 0] web.addrb            (r_tx_ram_addr_B    ),  // input wire [10 : 0] addrb.dinb             (0                  ),    // input wire [7 : 0] dinb.doutb            (w_tx_ram_dout      )  // output wire [7 : 0] doutb
);FIFO_ASYNC_11_64 FIFO_ASYNC_11_64_tx_u0 (.wr_clk           (i_udp_stack_clk    ),  // input wire wr_clk.rd_clk           (i_rxc              ),  // input wire rd_clk.din              (r_tx_len           ),        // input wire [10 : 0] din.wr_en            (r_tx_fifo_wren     ),    // input wire wr_en.rd_en            (r_tx_fifo_rden     ),    // input wire rd_en.dout             (w_tx_fifo_dout     ),      // output wire [10 : 0] dout.full             (w_tx_fifo_full     ),      // output wire full.empty            (w_tx_fifo_empty    )    // output wire empty
);/***************assign****************/
assign o_GMII_data  = ro_GMII_data  ;
assign o_GMII_valid = ro_GMII_valid ;
assign o_send_data  = ro_send_data  ;
assign o_send_valid = ro_send_valid_1d ;/***************always****************/
/*--------rgmii--------*/
always@(posedge i_rxc)
beginif(i_rec_valid)r_ram_addr_A <= r_ram_addr_A + 1;else if(i_rec_end)r_ram_addr_A <= 'd0;else r_ram_addr_A <= r_ram_addr_A;
endalways@(posedge i_rxc)
beginif(i_rec_valid)r_rec_len <= r_ram_addr_A + 1;else r_rec_len <= r_rec_len;
endalways@(posedge i_rxc)
beginri_rec_end <= i_rec_end;
endalways@(posedge i_rxc)
beginif(i_rec_end & !ri_rec_end)r_fifo_wr_en <= 'd1;else r_fifo_wr_en <= 'd0;
endalways@(posedge i_rxc)
beginif(r_tx_cnt == w_tx_fifo_dout)r_tx_read_run <= 'd0;else if(!w_tx_fifo_empty)r_tx_read_run <= 'd1;else r_tx_read_run <= r_tx_read_run;
endalways@(posedge i_rxc)
beginif(!r_tx_read_run && !w_tx_fifo_empty)r_tx_fifo_rden <= 'd1;else r_tx_fifo_rden <= 'd0;
endalways@(posedge i_rxc)
beginendalways@(posedge i_rxc)
beginif(i_speed1000)if(r_tx_cnt == w_tx_fifo_dout)r_tx_ram_en_B <= 'd0;else if(r_tx_fifo_rden)r_tx_ram_en_B <= 'd1;else r_tx_ram_en_B <= r_tx_ram_en_B;else if(r_tx_ram_en_B)r_tx_ram_en_B <= 'd0;else if(r_tx_fifo_rden || r_tx_read_run)r_tx_ram_en_B <= 'd1;else r_tx_ram_en_B <= 'd0;
endalways@(posedge i_rxc)
beginif(r_tx_ram_en_B)r_tx_ram_addr_B <= r_tx_ram_addr_B + 1;else r_tx_ram_addr_B <= 'd0;
endalways@(posedge i_rxc)
beginif(r_tx_ram_en_B)r_tx_cnt <= r_tx_cnt + 1;else r_tx_cnt <= 'd0;
endalways@(posedge i_rxc)
beginro_send_data  <= w_tx_ram_dout;ro_send_valid <= r_tx_ram_en_B;
end
/*--------udp--------*/
always@(posedge i_udp_stack_clk)
beginif(r_read_cnt == w_fifo_dout)r_read_run <= 'd0;else if(!w_fifo_empty)r_read_run <= 'd1;else r_read_run <= r_read_run;
endalways@(posedge i_udp_stack_clk)
beginif(!r_read_run && !w_fifo_empty)r_fifo_rd_en <= 'd1;else r_fifo_rd_en <= 'd0;
endalways@(posedge i_udp_stack_clk)
beginif(r_read_cnt == w_fifo_dout)    r_read_cnt <= 'd0;else if(r_ram_en_B)       r_read_cnt <= r_read_cnt + 1;else r_read_cnt <= r_read_cnt;
endalways@(posedge i_udp_stack_clk)
beginif(r_read_cnt == w_fifo_dout)r_ram_en_B <= 'd0;else if(r_fifo_rd_en)  r_ram_en_B <= 'd1;elser_ram_en_B <= r_ram_en_B;
endalways@(posedge i_udp_stack_clk)
beginif(r_ram_en_B)r_ram_addr_B <= r_ram_addr_B + 1;else r_ram_addr_B <= 'd0;
endalways@(posedge i_udp_stack_clk)
beginr_ram_en_B_1d <= r_ram_en_B;ro_GMII_data  <= w_ram_dout_B;r_ram_en_B_2d <= r_ram_en_B_1d;
endalways@(posedge i_udp_stack_clk)
beginif(!r_ram_en_B & r_ram_en_B_1d)ro_GMII_valid <= 'd0;else if(r_ram_en_B_1d & !r_ram_en_B_2d)ro_GMII_valid <= 'd1;else ro_GMII_valid <= ro_GMII_valid;
endalways@(posedge i_udp_stack_clk)
beginif(i_GMII_valid)r_tx_ram_addr_A <= r_tx_ram_addr_A + 1;else r_tx_ram_addr_A <= 'd0;
endalways@(posedge i_udp_stack_clk)
beginif(i_GMII_valid)r_tx_len <= r_tx_ram_addr_A;else r_tx_len <= r_tx_len;
endalways@(posedge i_udp_stack_clk)
beginri_GMII_valid <= i_GMII_valid;ro_send_valid_1d <= ro_send_valid;
end      always@(posedge i_udp_stack_clk)
beginif(!i_GMII_valid & ri_GMII_valid)r_tx_fifo_wren <= 'd1;else r_tx_fifo_wren <= 'd0;
endendmodule

有问题可以加企鹅群 658476482 交流

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/726310.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

NextJs教程系列(三):路由layout

可复用的布局 Next.js的layout是一个可复用的布局&#xff0c;不同的子页面可以共享布局容器&#xff0c;页面跳转时&#xff0c;layout容器不会重新渲染。 children props export default function RootLayout({ children }) {return (<html lang"en"><…

怎么做加密文件二维码?分享文件更安全

怎么做一个加密文件二维码&#xff1f;在日常的工作和生活中&#xff0c;通过扫描二维码来查看或者下载文件的方式&#xff0c;被越来越多的人所使用&#xff0c;一方面是二维码的成本低&#xff0c;另一方面有利于提升便捷性和用户体验。 为了保证内容的隐私性和安全性&#…

【XR806开发板试用】串口驱动JQ8900播放音乐

一、硬件连接 1.JQ8900引脚定义 通过阅读JQ8900的数据手册&#xff0c;可以了解到驱动JQ8900有许多种方式&#xff0c;IO驱动&#xff0c;一线串口驱动&#xff08;VPP&#xff09;&#xff0c;两线串口驱动&#xff08;RX&#xff0c;TX&#xff09;&#xff0c;这里我使用两…

Unity性能优化篇(八) 导入的模型网格优化设置

模型导入Unity后&#xff0c;可以选中这个模型&#xff0c;在Inspector窗口设置它的属性。下面说的都是可自定义选择优化的地方 Model选择卡: 1.在Model选项卡&#xff0c;启用Mesh Compression可以压缩模型&#xff0c;压缩程度越高&#xff0c;模型精度越低&#xff0c;但是…

Python实现插入排序算法

Python实现插入排序算法 以下是使用Python实现插入排序算法的示例代码&#xff1a; def insertion_sort(arr):n len(arr)for i in range(1, n):key arr[i]j i - 1# 将比key大的元素向右移动一位while j > 0 and arr[j] > key:arr[j 1] arr[j]j - 1arr[j 1] key# …

(3)(3.3) MAVLink高延迟协议

文章目录 前言 1 配置 2 说明 3 消息说明 前言 ArduPilot 支持 MAVLink 高延迟协议(MAVLink High Latency)。该协议专为卫星或 LoRA 等低带宽或高成本链路而设计。 在此协议中&#xff0c;每 5s 只发送一次 HIGH_LATENCY2 MAVLink 信息。对 MAVLink 命令或请求&#xff08…

no main manifest attribute, in demo.jar的原因和解决办法

一、问题描述 当我们用java -jar demo.jar --httpPorts8081打算启动我们的jar文件时&#xff0c;系统给出了题目中的错误提示&#xff1a; no main manifest attribute, in demo.jar 二、问题分析 根据提示&#xff0c;很明显是说找不到main入口&#xff0c;为什么是这样呢&a…

pytest测试框架使用基础06 fixture——parametrize

pytest.mark.parametrize 允许在测试函数或类中定义多组参数和 fixtures。 参数化场景&#xff1a; 只有测试数据和预期结果不一样&#xff0c;但操作步骤是一样的测试用例是可以用上参数化的。 创建test_cases02.py文件 示例一&#xff1a;未参数化 1.脚本代码&#xff1a; #…

nginx代理参数proxy_pass

proxy_pass参数用于配置反向代理&#xff0c;指定客户端请求被转发到后端服务器&#xff0c;后端地址可以是域名、ip端口URI 代理后端报错提示本地找不到CSS文件、JavaScript文件或图片 例如&#xff1a; nginx &#xff1a;10.1.74.109 后端服务&#xff1a;http://10.1.74.…

Hive Thrift Server

hive-site.xml配置文件 <property><name>hive.server2.thrift.bind.host</name><value>node1</value> </property>hive.server2.thrift.bind.host: This property determines the host address to which the HiveServer2 Thrift service …

HBase 的安装与部署

目录 1 启动 zookeeper2 启动 Hadoop3 HBase 的安装与部署4 HBase 高可用 1 启动 zookeeper [huweihadoop101 ~]$ bin/zk_cluster.sh start2 启动 Hadoop [huweihadoop101 ~]$ bin/hdp_cluster.sh start3 HBase 的安装与部署 &#xff08;1&#xff09;将 hbase-2.0.5-bin.tar.…

视频压缩会影响画质吗?正确答案在这里!

在当今数字时代&#xff0c;我们生活在一个高清、甚至是4K视频的世界中。随之而来的是巨大的视频文件大小&#xff0c;这在存储、传输和分享方面都带来了一些挑战。为了解决这一问题&#xff0c;许多人转向视频压缩&#xff0c;以便更有效地管理和共享视频内容。 然而&#xf…

嵌入式开发的常用软件、学习资源网站推荐

1、软件推荐 1.1、文本编辑软件 ——Notepad 1、适合编写和查看文本文件&#xff0c;也可以安装插件来查看二进制文件、对比文件 2、参考博客&#xff1a;《Notepad实用小技巧》&#xff1b; 1.2、PDF文件阅读软件——福昕PDF阅读器 福昕PDF阅读器&#xff0c;在官网就可以下载…

终于用上最新的Claude-3-opus和Claude-3-sonnet!

3 月 4 日&#xff0c;被称为 OpenAI 最强竞争对手的大模型公司 Anthropic 宣布推出 Claude3 系列模型&#xff0c;与 Gemini 类似&#xff0c;模型按照大小分为三个&#xff1a;Claude 3 Haiku、Claude 3 Sonnet 和 Claude 3 Opus。Opus 目前在官方发布的测试成绩中全方位超越…

信息安全与阿里云等保三级方案实践总结

信息安全在当今数字化时代变得至关重要&#xff0c;企业和组织需要采取有效措施来保护其数据和信息资产。阿里云作为中国领先的云服务提供商&#xff0c;提供了等保三级方案&#xff0c;帮助用户满足国家信息安全等级保护的要求。本文将探讨信息安全和阿里云等保三级方案的重要…

FPGA——三速自适应以太网设计(1)基本模块

FPGA——以太网设计&#xff08;1&#xff09;基本模块 1. 协议解析&#xff08;1&#xff09;MAC层&#xff08;2&#xff09;IP层 和 ARP层&#xff08;3&#xff09;UDP层 和 ICMP层 2.1 MAC接收模块2.2 MAC发送模块3.1 IP接收模块3.2 IP发送模块4.1 UDP接收模块4.2 UDP发送…

Flask入门三(Flask-session的使用、数据库链接池、wtforms、Flask定制命令、Flask-Cache)

文章目录 一、Flask-session使用1.使用方式一2.使用方式二3.读RedisSessionInterface源码4.flask-session补充 二、数据库连接池1.flask中使用mysql2.上述问题解决 使用数据库连接池1.第三方数据库连接池2.操作数据库不带池版3.池版和非池版压测 三、wtforms四、Flask定制命令1…

Excel中怎么求排名

使用Rank函数 1.在需要显示排名的单元格内&#xff0c;输入“RANK&#xff08;数值&#xff0c;数值列表&#xff0c;排序方式&#xff09;” 2.将“数值”替换为需要计算排名的单元格的地址&#xff0c;例如E2单元格。 3.将“数值列表”替换为排名的数值范围&#xff0c;例…

在win10中下载桌面版的docker并在docker中搭建运行基于linux的容器

在win10中下载桌面版的docker 1.背景 在很多时候需要linux系统部署项目&#xff0c;在win10中安装虚拟机并在虚拟机中安装linux系统比较繁琐&#xff0c;可以利用win10自带的hyper-v的虚拟机管理工具&#xff0c;打开该虚拟机管理工具&#xff0c;安装docker&#xff0c;并在…

如何应对IT服务交付中的问题?看了本文DevOps就懂了

&#x1f468;‍&#x1f393;博主简介 &#x1f3c5;云计算领域优质创作者   &#x1f3c5;华为云开发者社区专家博主   &#x1f3c5;阿里云开发者社区专家博主 &#x1f48a;交流社区&#xff1a;运维交流社区 欢迎大家的加入&#xff01; &#x1f40b; 希望大家多多支…