`timescale 1ns/1nsmodule sequence_generator(input clk,input rst_n,output reg data);reg [5:0] seq_dat;always @(posedge clk or negedge rst_n)
begin if(!rst_n) begin seq_dat <= 6'b001_011;end else begin seq_dat <= {seq_dat[4:0],seq_dat[5]};end
end always @(posedge clk or negedge rst_n)
begin if(!rst_n) begin data <= 1'b0;end else begin data <= seq_dat[5];end
end endmodule
上面是java中集合的整体框架图。
集合使用的数据结构
算法复杂度分析
时间复杂度分析
时间复杂度分析:来评估代码的执行耗时的
/*** 求1~n的累加和* param n* return*/
public int sum(int n) {int sum 0;for ( int i 1; i < n; i) {sum sum i;}return …