FPGA:uart原理+tx发送模块+rx接收模块

文章目录

  • 一、串口通信
  • 二、UART通信
  • 三、tx发送模块
  • 四、rx模块接收

一、串口通信

处理器与外部设备通信的两种方式:
串行通信: 指数据的各个位使用多条数据线同时进行传输。
并行通信: 将数据分成一位一位的形式在一条数据线上逐个传输。
在这里插入图片描述

串行通信的通信方式:
同步通信: 带时钟同步信号的数据传输,发送方和接收方在同一时钟控制下,同步传输数据。
异步通信: 不带时钟同步信号的数据传输,发送方和接收方使用各自的时钟控制数据的发送和接收过程。在这里插入图片描述

串行通信的传输方向:
单工: 数据只能沿一个方向进行传输。
半双工: 数据传输可以沿两个方向,但需要分时进行。
全双工: 数据可以同时进行双向传输。
在这里插入图片描述

常见的串行通信接口:
在这里插入图片描述

二、UART通信

通用异步收发传输器(Universal Asynchronous Receiver/Transmitter),通常称作UART,是一种异步收发传输器。 它在发送数据时将并行数据转换为串行的数据来传输,在接收数据时将接收到的串行数据转换成并行数据。UART串口通信需要两根信号线来实现,一根用于发送,另一个用于接收。
在这里插入图片描述

协议层:
数据格式,1帧数据由4部分组成。

  • 起始位(1bit)
  • 数据位(6/7/8bit)
  • 奇偶校验位(1bit)
  • 停止位(1bit/1.5bit/2bit)
    在这里插入图片描述

奇校验:原始码流+校验位 总共有奇数个1
偶校验:原始码流+校验位 总共有偶数个1

传输速率:
串口通信速率用波特率表示,它表示每秒传输二进制数据的位数,单位是bit/s(位/秒),简称bps;常用的波特率有9600,115200等。

物理层:
串口电平标准:

  • TTL电平的串口(3.3V)
  • RS232电平的串口(+5V ~ +12V为低电平,-12V ~ -5V为高电平)

三、tx发送模块

tx发送模块:共四个状态,IDLE状态,START状态,DATA状态,FINISH状态。

/** @Description: tx输出,波特率115200,系统时钟50M,传输1bit所需计数434个周期* @Author: Fu Yu* @Date: 2023-08-15 11:10:41* @LastEditTime: 2023-08-15 14:55:04* @LastEditors: Fu Yu*/module uart_tx (input           wire                clk         ,input           wire                rst_n       ,input           wire [7:0]          tx_din      ,input           wire                tx_din_vld  ,output          wire                tx_dout     ,output          wire                ready           
);parameter MAX_BIT = 50_000_000/115200;//1bit计数最大值,434localparam  IDLE   = 4'b0001,START  = 4'b0010,DATA   = 4'b0100,FINISH = 4'b1000;reg [3:0]   state_c;//现态
reg [3:0]   state_n;//次态wire        idle_start  ;// IDLE -> START
wire        start_data  ;// START -> DATA
wire        data_finish ;// DATA -> FINISH
wire        finish_idle ;// FINFISH -> IDLEreg			[8:0]	cnt_bit	   	;
wire				add_cnt_bit	;
wire				end_cnt_bit	;reg			[11:0]	cnt_data	   	;
wire				add_cnt_data	;
wire				end_cnt_data	;reg [7:0]   tx_din_r;
reg         tx_dout_r;//****************************************************************
//--                状态机
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginstate_c <= IDLE;endelse beginstate_c <= state_n;end
endalways @( *) begincase (state_c)IDLE : beginif(idle_start) beginstate_n = START;endelse beginstate_n = state_c;endendSTART : beginif(start_data) beginstate_n = DATA;endelse beginstate_n = state_c;endendDATA : beginif(data_finish) beginstate_n = FINISH;endelse beginstate_n = state_c;endendFINISH : beginif(finish_idle) beginstate_n = IDLE;endelse beginstate_n = state_c;endenddefault : state_n = IDLE;endcase
endassign idle_start  = state_c == IDLE && tx_din_vld ;
assign start_data  = state_c == START &&  end_cnt_bit;
assign data_finish = state_c == DATA &&  end_cnt_data;
assign finish_idle = state_c == FINISH && end_cnt_bit;//****************************************************************
//--                    计数器
//****************************************************************
//1bit计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_bit <= 'd0;end else if(add_cnt_bit)begin if(end_cnt_bit)begin cnt_bit <= 'd0;endelse begin cnt_bit <= cnt_bit + 1'b1;end end
end assign add_cnt_bit = state_c == START || state_c == FINISH || state_c == DATA;
assign end_cnt_bit = add_cnt_bit && cnt_bit == MAX_BIT - 1;//8bit计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_data <= 'd0;end else if(add_cnt_data)begin if(end_cnt_data)begin cnt_data <= 'd0;endelse begin cnt_data <= cnt_data + 1'b1;end end
end assign add_cnt_data = state_c == DATA && end_cnt_bit;
assign end_cnt_data = add_cnt_data && cnt_data == 8 - 1 ;//****************************************************************
//--                输入数据寄存
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) begintx_din_r <= 0;endelse begintx_din_r <= tx_din;end
end//****************************************************************
//--            实现串口时序
//****************************************************************
always @( *) begincase (state_c)IDLE : begintx_dout_r = 1;endSTART : begintx_dout_r = 0;endDATA : beginif(tx_din_r[cnt_data]) begintx_dout_r = 1;endelse begintx_dout_r = 0;endendFINISH : begintx_dout_r = 1;enddefault : tx_dout_r = 1;endcase
endassign tx_dout = tx_dout_r;assign ready = state_c == IDLE;endmodule //uart_tx

测试文件:

/** @Description: uart_tx仿真模块* @Author: Fu Yu* @Date: 2023-08-15 14:58:32* @LastEditTime: 2023-08-15 15:06:49* @LastEditors: Fu Yu*/`timescale 1ns/1nsmodule tb_uart_tx();//激励信号定义 reg				tb_clk  	;reg				tb_rst_n	;reg		[7:0]   tb_tx_din	;reg             tb_tx_din_vld;//输出信号定义	 wire			tx_dout	;wire			ready    ;//时钟周期参数定义	parameter		CLOCK_CYCLE = 20;   defparam  u_uart_tx.MAX_BIT = 10;
//模块例化
uart_tx u_uart_tx(/*input           wire       */  .       clk       (tb_clk)  ,/*input           wire       */  .       rst_n     (tb_rst_n)  ,/*input           wire [7:0] */  .       tx_din    (tb_tx_din) ,/*input           wire       */  .       tx_din_vld(tb_tx_din_vld) ,/*output          wire       */  .       tx_dout   (tx_dout)  ,/*output          wire       */  .       ready     (ready)      
);//产生时钟initial 		tb_clk = 1'b0;always #(CLOCK_CYCLE/2) tb_clk = ~tb_clk;//产生激励initial  begin tb_rst_n = 1'b1;tb_tx_din = 0;tb_tx_din_vld = 0;#(CLOCK_CYCLE*2);tb_rst_n = 1'b0;#(CLOCK_CYCLE*20);tb_rst_n = 1'b1;repeat(10) begintb_tx_din_vld = 1;tb_tx_din = {$random};#20;tb_tx_din_vld = 0;wait(ready == 1);#20;end#1000;$stop;endendmodule 

仿真波形图:
在这里插入图片描述
上板验证:
加入按键控制模块,每一次按下,输出8’hAB

按键消抖模块:

/** @Description: 按键消抖,使用延迟方法,消抖后输出高电平信号* @Author: Fu Yu* @Date: 2023-08-07 14:22:56* @LastEditTime: 2023-08-07 14:48:48* @LastEditors: Fu Yu*/module key_filter #(parameter WITDH = 3//WITDH表示位宽
)(input       wire                clk         ,input       wire                rst_n       ,input       wire [WITDH-1:0]    key_in      ,output      wire [WITDH-1:0]    key_down
);parameter MAX_20MA = 20'd999_999;//20msreg [WITDH - 1:0]   key_r0;//同步信号
reg [WITDH - 1:0]   key_r1;//打拍
reg [WITDH - 1:0]   key_r2;
reg [WITDH - 1:0]   key_down_r;
reg [19:0]          cnt_20ms;
reg                 flag;//开始计数信号wire [WITDH - 1:0]  nedge;//下降沿
wire add_cnt_20ms;
wire end_cnt_20ms;//****************************************************************
//--同步,打拍
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginkey_r0 <= {WITDH{1'b1}};key_r1 <= {WITDH{1'b1}};key_r2 <= {WITDH{1'b1}};endelse beginkey_r0 <= key_in;key_r1 <= key_r0;key_r2 <= key_r1;end
end//下降沿检测
assign nedge = ~key_r1 & key_r2;//****************************************************************
//--flag
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginflag <= 1'b0;endelse if(nedge) begin//检测到下降沿开始计数flag <= 1'b1;endelse if(end_cnt_20ms) begin//20ms后停止计数flag <= 1'b0;endelse beginflag <= flag;end
end//****************************************************************
//--20ms计数器
//****************************************************************always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_20ms <= 20'd0;end else if(add_cnt_20ms)begin if(end_cnt_20ms)begin cnt_20ms <= 20'd0;endelse begin cnt_20ms <= cnt_20ms + 1'b1;end end
end assign add_cnt_20ms = flag;
assign end_cnt_20ms = add_cnt_20ms && cnt_20ms == MAX_20MA;//****************************************************************
//--key_down
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginkey_down_r <= {WITDH{1'b0}};endelse if(end_cnt_20ms) beginkey_down_r <= ~key_r2;endelse beginkey_down_r <= {WITDH{1'b0}};end
endassign key_down = key_down_r;endmodule //key_filter

顶层模块:

module top (input           wire            clk         ,input           wire            rst_n       ,input           wire            key_in      ,output          wire            tx
);wire        key_wire;
wire        ready;key_filter #(.WITDH(1)) u_key_filter(/* input       wire            */.   clk     (clk)    ,/* input       wire            */.   rst_n   (rst_n)    ,/* input       wire [WITDH-1:0]*/.   key_in  (key_in)    ,/* output      wire [WITDH-1:0]*/.   key_down(key_wire)
);uart_tx u_uart_tx(/*input           wire       */  .       clk       (clk)  ,/*input           wire       */  .       rst_n     (rst_n)  ,/*input           wire [7:0] */  .       tx_din    (8'hab)  ,/*input           wire       */  .       tx_din_vld(key_wire && ready)  ,/*output          wire       */  .       tx_dout   (tx)  ,/*output          wire       */  .       ready     (ready)      
);endmodule //top

效果展示:
在这里插入图片描述

四、rx模块接收

/** @Description: rx接收,波特率115200,系统时钟50M,传输1bit所需计数434个周期* @Author: Fu Yu* @Date: 2023-08-15 11:10:41* @LastEditTime: 2023-08-16 10:03:27* @LastEditors: Fu Yu*/module uart_rx (input           wire                clk         ,input           wire                rst_n       ,input           wire                rx_din       ,output          wire [7:0]          rx_dout      ,output          wire                rx_dout_vld  ,output          wire                ready           
);parameter MAX_BIT = 50_000_000/115200;//1bit计数最大值,434localparam  IDLE   = 4'b0001,START  = 4'b0010,DATA   = 4'b0100,FINISH = 4'b1000;reg [3:0]   state_c;//现态
reg [3:0]   state_n;//次态wire        idle_start  ;// IDLE -> START
wire        start_data  ;// START -> DATA
wire        data_finish ;// DATA -> FINISH
wire        finish_idle ;// FINFISH -> IDLEreg			[8:0]	cnt_bit	   	;
wire				add_cnt_bit	;
wire				end_cnt_bit	;reg			[11:0]	cnt_data	   	;
wire				add_cnt_data	;
wire				end_cnt_data	;reg     [7:0]       rx_dout_r;//****************************************************************
//--                状态机
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginstate_c <= IDLE;endelse beginstate_c <= state_n;end
endalways @( *) begincase (state_c)IDLE : beginif(idle_start) beginstate_n = START;endelse beginstate_n = state_c;endendSTART : beginif(start_data) beginstate_n = DATA;endelse beginstate_n = state_c;endendDATA : beginif(data_finish) beginstate_n = FINISH;endelse beginstate_n = state_c;endendFINISH : beginif(finish_idle) beginstate_n = IDLE;endelse beginstate_n = state_c;endenddefault : state_n = IDLE;endcase
endassign idle_start  = state_c == IDLE && rx_din == 0 ;
assign start_data  = state_c == START &&  end_cnt_bit;
assign data_finish = state_c == DATA &&  end_cnt_data;
assign finish_idle = state_c == FINISH && end_cnt_bit;//****************************************************************
//--                    计数器
//****************************************************************
//1bit计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_bit <= 'd0;end else if(add_cnt_bit)begin if(end_cnt_bit)begin cnt_bit <= 'd0;endelse begin cnt_bit <= cnt_bit + 1'b1;end end
end assign add_cnt_bit = state_c == START || state_c == FINISH || state_c == DATA;
assign end_cnt_bit = add_cnt_bit && cnt_bit == MAX_BIT - 1;//8bit计数器
always @(posedge clk or negedge rst_n)begin if(!rst_n)begincnt_data <= 'd0;end else if(add_cnt_data)begin if(end_cnt_data)begin cnt_data <= 'd0;endelse begin cnt_data <= cnt_data + 1'b1;end end
end assign add_cnt_data = state_c == DATA && end_cnt_bit;
assign end_cnt_data = add_cnt_data && cnt_data == 8 - 1 ;//****************************************************************
//--            实现数据接收
//****************************************************************
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginrx_dout_r <= 0;endelse if(state_c == DATA && cnt_bit == MAX_BIT >> 1) beginrx_dout_r[cnt_data] <= rx_din;end
endassign rx_dout = rx_dout_r;assign rx_dout_vld = data_finish;assign ready = state_c == IDLE;endmodule //uart_rx

ip核fifo调用:

module ctrl (input           wire            clk                 ,input           wire            rst_n               ,input           wire [7:0]      rx_data             ,input           wire            rx_data_vld         ,input           wire            tx_ready            ,output          wire [7:0]      tx_data             ,output          wire            tx_data_vld     
);wire  fifo_rd_empty;
wire  fifo_wr_full;fifo	fifo_inst (.aclr ( ~rst_n ),.data ( rx_data ),.wrclk ( clk ),.wrreq ( rx_data_vld && ~fifo_wr_full ),.q ( tx_data ),.rdclk ( clk ),.rdreq ( tx_ready && ~fifo_rd_empty ),.rdempty ( fifo_rd_empty ),.wrfull ( fifo_wr_full ));assign tx_data_vld = tx_ready && ~fifo_rd_empty ;endmodule //ctrl

顶层模块:

module top (input           wire            clk         ,input           wire            rst_n       ,input           wire            key_in      ,input           wire            rx          ,output          wire            tx
);wire        key_wire;
wire        tx_ready;
wire [7:0]  rx_data;
wire        rx_data_vld;
wire [7:0]  tx_data;
wire        tx_data_vld;key_filter #(.WITDH(1)) u_key_filter(/* input       wire            */.   clk     (clk)    ,/* input       wire            */.   rst_n   (rst_n)    ,/* input       wire [WITDH-1:0]*/.   key_in  (key_in)    ,/* output      wire [WITDH-1:0]*/.   key_down(key_wire)
);uart_tx u_uart_tx(/*input           wire       */  .       clk       (clk)  ,/*input           wire       */  .       rst_n     (rst_n)  ,/*input           wire [7:0] */  .       tx_din    (tx_data)  ,/*input           wire       */  .       tx_din_vld(tx_data_vld)  ,/*output          wire       */  .       tx_dout   (tx)  ,/*output          wire       */  .       ready     (tx_ready)      
);uart_rx u_uart_rx(/* input           wire       */.         clk        (clk ) ,/* input           wire       */.         rst_n      (rst_n ) ,/* input           wire       */.         rx_din     (rx )  ,/* output          wire [7:0] */.         rx_dout    (rx_data)  ,/* output          wire       */.         rx_dout_vld(rx_data_vld)  ,/* output          wire       */.         ready      ()     
);ctrl  u_ctrl(/* input           wire         */ .   clk        (clk) ,/* input           wire         */ .   rst_n      (rst_n) ,/* input           wire [7:0]   */ .   rx_data    (rx_data) ,/* input           wire         */ .   rx_data_vld(rx_data_vld) ,/* input           wire         */ .   tx_ready   (tx_ready) ,/* output          wire [7:0]   */ .   tx_data    (tx_data) ,/* output          wire         */ .   tx_data_vld(tx_data_vld)
);endmodule //top

将rx接收模块与tx发送模块联合使用,效果如下:
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/43802.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Pycharm找不到Conda可执行文件路径(Pycharm无法导入Anaconda已有环境)

在使用Pycharm时发现无法导入Anaconda创建好的环境&#xff0c;会出现找不到Conda可执行文件路径的问题。 解决 在输入框内输入D:\anaconda3\Scripts\conda.exe&#xff0c;点击加载环境。 注意前面目录是自己Anaconda的安装位置&#xff0c;之后就可以找到Anaconda的现有环…

Mr. Cappuccino的第63杯咖啡——Spring之AnnotationConfigApplicationContext源码分析

Spring之AnnotationConfigApplicationContext源码分析 源码分析 源码分析 以上一篇文章《Spring之Bean的生命周期》的代码进行源码分析 AnnotationConfigApplicationContext applicationContext new AnnotationConfigApplicationContext(SpringConfig02.class); LifeCycleBe…

第17集丨Vue中的render函数

目录 一、脚手架中不能使用template配置二、基本使用三、关于不同版本的Vue 一、脚手架中不能使用template配置 // 引入vue import Vue from vue import App from ./Appnew Vue({el:#app,template:<h1>hhh</h1>,comments:{App},})上面案例中&#xff0c;配置了temp…

Mac 使用 rar 命令行工具解压和压缩文件

在 Mac 中常遇到的压缩文件有 zip 和 rar 格式的&#xff0c;如果是 zip 格式的 Mac 系统默认双击一下文件就能直接解压了&#xff0c;但 rar 文件就不行。 需要额外下载 rar 工具了实现。 第一步&#xff1a;下载 rar 工具 工具网址&#xff1a;https://www.rarlab.com/dow…

大语言模型之四-LlaMA-2从模型到应用

最近开源大语言模型LlaMA-2火出圈&#xff0c;从huggingface的Open LLM Leaderboard开源大语言模型排行榜可以看到LlaMA-2还是非常有潜力的开源商用大语言模型之一&#xff0c;相比InstructGPT&#xff0c;LlaMA-2在数据质量、培训技术、能力评估、安全评估和责任发布方面进行了…

微服务—远程调用(RestTemplate)

在微服务的所有框架中&#xff0c;SpringCloud脱颖而出&#xff0c;它是目前国内使用的最广泛的微服务框架 &#xff08;官网地址&#xff09;&#xff0c;它集成了各种微服务功能组件&#xff0c;并基于SpringBoot实现了这些组件的自动装配&#xff0c;从而提供了良好的开箱…

3D- vista:预训练的3D视觉和文本对齐Transformer

论文&#xff1a;https://arxiv.org/abs/2308.04352 代码: GitHub - 3d-vista/3D-VisTA: Official implementation of ICCV 2023 paper "3D-VisTA: Pre-trained Transformer for 3D Vision and Text Alignment" 摘要 三维视觉语言基础(3D- vl)是一个新兴领域&…

在 React+Typescript 项目环境中创建并使用组件

上文 ReactTypescript清理项目环境 我们将自己创建的项目环境 好好清理了一下 下面 我们来看组件的创建 组件化在这种数据响应式开发中肯定是非常重要的。 我们现在src下创建一个文件夹 叫 components 就用他专门来处理组件业务 然后 我们在下面创建一个 hello.tsx 注意 是t…

Azure共享映像库构建VM镜像

什么是Azure共享映像库 Azure共享映像库是一项在Microsoft Azure中以共享方式存储和管理映像的服务。映像是预配置的虚拟机操作系统和应用程序的快照&#xff0c;可以用来创建多个虚拟机实例。通过将映像存储在共享映像库中&#xff0c;用户可以轻松地共享映像给其他Azure订阅…

探索无限创造力的星辰大道,画出想象的浩瀚宇宙!-turtle

介绍 视频教程地址在此&#xff1a;https://www.bilibili.com/video/BV1Pm4y1H7Tb/ 大家好&#xff0c;欢迎来到本视频&#xff01;今天&#xff0c;我们将一同探索Python编程世界中的一个有趣而创意的库——Turtle库。无需专业绘画技能&#xff0c;你就可以轻松地用代码绘制…

【微服务技术一】Eureka、Nacos、Ribbon(配置管理、注册中心、负载均衡)

微服务技术一 技术栈图一、注册中心Eureka概念&#xff1a;搭建EurekaServer服务注册服务发现&#xff08;消费者对提供者的远程调用&#xff09; 二、Ribbon负载均衡负载均衡的原理&#xff1a;LoadBalanced负载均衡的策略&#xff1a;IRule懒加载 三、Nacos注册中心Nacos的安…

什么是单例模式

什么是单例模式 文章目录 什么是单例模式1. 单例(单个的实例)2. 单例模式应用实例3. 饿汉式 VS 懒汉式 1. 单例(单个的实例) 所谓类的单例设计模式&#xff0c;就是采取一定的方法保证在整个的软件系统中&#xff0c;对某个类只能存在一个对象实例&#xff0c;并且该类只提供一…

nginx介绍

Nginx是什么&#xff1f; Nginx是一款轻量级的Web 服务器/反向代理服务器及电子邮件&#xff08;IMAP/POP3&#xff09;代理服务器。其特点是占有内存少&#xff0c;并发能力强&#xff0c;事实上nginx的并发能力确实在同类型的网页服务器中表现较好&#xff0c;中国大陆使用ng…

android wifi扫描 framework层修改扫描间隔

frameworks/opt/net/wifi/service/java/com/android/server/wifi/ScanRequestProxy.java 这个也就是说前台应用可以在120s(2分钟) 扫描 4 次 * a) Each foreground app can request a max of* {link #SCAN_REQUEST_THROTTLE_MAX_IN_TIME_WINDOW_FG_APPS} scan every* {l…

08-微信小程序视图层

08-微信小程序视图层 文章目录 视图层 ViewWXML数据绑定列表渲染条件渲染模板引用importimport 的作用域include WXSS尺寸单位样式导入内联样式选择器全局样式与局部样式 WXS注意事项页面渲染数据处理 视图层 View 框架的视图层由 WXML 与 WXSS 编写&#xff0c;由组件来进行…

http库 之 OKHttpUtil

源码位置 方便实用&#xff0c;个人感觉不错 依赖 <dependency><groupId>io.github.admin4j</groupId><artifactId>common-http-starter</artifactId><version>0.7.5</version> </dependency>代码实践 /*** 通用http的pos…

改进YOLO系列:2.添加ShuffleAttention注意力机制

添加ShuffleAttention注意力机制 1. ShuffleAttention注意力机制论文2. ShuffleAttention注意力机制原理3. ShuffleAttention注意力机制的配置3.1common.py配置3.2yolo.py配置3.3yaml文件配置1. ShuffleAttention注意力机制论文 论文题目:SA-NET: SHUFFLE ATTENTION …

网络编程(基础)

一、OSI体系结构 ISO&#xff08;国际标准化组织&#xff09;制定了一个国际标准OSI&#xff08;开放式通讯系统互联参考模型&#xff09;&#xff0c;对通讯系统进行了标准化。 定义了7层模型&#xff1a; 二、TCP/IP协议介绍 OSI模型是一个理想化的模型已经很少使用&#x…

ElasticSearch DSL语句(bool查询、算分控制、地理查询、排序、分页、高亮等)

文章目录 DSL 查询种类DSL query 基本语法1、全文检索2、精确查询3、地理查询4、function score &#xff08;算分控制&#xff09;5、bool 查询 搜索结果处理1、排序2、分页3、高亮 RestClient操作 DSL 查询种类 查询所有&#xff1a;查询所有数据&#xff0c;一般在测试时使…

耗资170亿美元?三星电子在得克萨斯州建设新的半导体工厂

据报道&#xff0c;三星电子在得克萨斯州泰勒市建设的新的半导体工厂预计将于2024年下半年投入运营。这座工厂将成为三星电子在美国的第二座芯片代工厂&#xff0c;与位于得克萨斯州奥斯汀市的第一座工厂相距不远。 此次投资将耗资约170亿美元&#xff0c;显示了三星电子在半导…