UART串口通信协议

一、串行通信

串行通信分为两种方式:同步串行通信异步串行通信

同步串行通信需要通信双方在同一时钟的控制下,同步传输数据。

异步串行通信是指通信双方使用各自的时钟控制数据的发送和接收过程。

二、UART

通用异步收发传输器(Universal Asynchronous Receiver/Transmitter,UART)是一种全双工、异步串行通信方式它在发送数据时将并行数据转换成串行数据来传输,在接收数据时将接收到的串行数
据转换成并行数据,可以实现全双工传输和接收。

UART串口通信需要两根信号线来实现,一根用于串口发送,另外一根负责串口接收

UART在发送或接收过程中的一帧数据由4部分组成,起始位(低电平)、数据位、奇偶校验位和停止位(高电平)

起始位标志着一帧数据的开始,停止位标志着一帧数据的结束,数据位是一帧数据中的有效数据。

校验位分为奇校验和偶校验,用于检验数据在传输过程中是否出错。奇校验时,发送方应使数据位中1的个数与校验位中1的个数之和为奇数;接收方在接收数据时,对1的个数进行检查,若不为奇数,则说明数据在传输过程中出了差错。同样,偶校验则检查1的个数是否为偶数。

UART通信过程中的数据格式及传输速率是可设置的,数据位可选择为 5、6、7、8位(最常用);校验位可选择奇校验、偶校验或者无校验位;停止位可选择1位(默认),1.5或2位。

串口通信的速率用波特率表示,它表示每秒传输二进制数据的位数,单位是bps(位/秒),常用的波特率有9600、19200、38400、57600以及115200等。

FPGA开发串口中,为了得到串口传输一位数据所需要的系统时钟周期数,需要对系统时钟进行计数,计数方法为FPGA时钟频率/波特率。如时钟频率为50000000hz(50MHz),需要的比特率为9600bps,则需要计数50000000/9600≈5208次

三、串口实现

上位机通过串口调试助手发送数据给FPGA,FPGA 通过USB串口接收数据并将接收到的数据发送给上位机,完成串口数据环回。

首先要有一个接收模块,接收串口调试助手发送的数据

module uart_rx(//**************输入**************input			     clk,                 					   //系统50MHz时钟input              rst_n,               					   //系统复位,低电平有效  input              uart_rxd,            					   //UART接收端口//**************输出**************output  reg        uart_done,            				       //接收一帧数据完成标志output  reg        rx_flag,                                    //接收标志位output  reg [3:0]  rx_cnt,                                     //接收数据计数器output  reg [7:0]  rxdata,                                     //接收端口数据寄存output  reg [7:0]  uart_data                                   //接收的数据);//************参数定义************
parameter   CLK_FREQ = 50000000;                				//系统时钟
parameter   UART_BPS = 9600;                    				//串口波特率
localparam  BPS_CNT  = CLK_FREQ/UART_BPS;      					//波特率计数,串口传输一位所需要的系统时钟周期数//************信号定义************
reg        uart_rxd_1;                                      //异步信号会带来亚稳态,常用处理方式是打拍处理,第一拍
reg        uart_rxd_2;                                      //第二拍,通常打两拍就基本上就能避免亚稳态问题
reg [15:0] clk_cnt;                              			//系统时钟计数器wire       start_flag;                                      //起始标志位//检测接收端口下降沿来捕获起始位,输出一个时钟周期的脉冲start fag,并进入串口接收过程
assign  start_flag = uart_rxd_2 & (~uart_rxd_1);    //对UART接收端口的数据延迟两个时钟周期避免亚稳态
always @(posedge clk or negedge rst_n) begin if (!rst_n) begin uart_rxd_1 <= 1'b0;uart_rxd_2 <= 1'b0;          endelse beginuart_rxd_1  <= uart_rxd;                   uart_rxd_2  <= uart_rxd_1;end   
end//当脉冲信号start_flag有效,进入数据接收过程           
always @(posedge clk or negedge rst_n) begin         if (!rst_n)rx_flag <= 1'b0;else begin//检测到起始位,进入数据接收过程,标志位rx_flag拉高if(start_flag)rx_flag <= 1'b1;//当接收数据计数器计数到9(9个波特周期)且在停止位中间(数据寄存已经完成,为检测下一帧数据起始位准备),停止接收,标志位rx_flag拉低				else if((rx_cnt == 4'd9) && (clk_cnt == BPS_CNT/2))     	   rx_flag <= 1'b0;elserx_flag <= rx_flag;end
end//进入数据接收过程启动系统时钟计数器
always @(posedge clk or negedge rst_n) begin         if (!rst_n)                             clk_cnt <= 16'd0;//标志位rx_flag有效,处于接收过程	  else if (rx_flag) begin//计数没到一个波特率周期则一直计数,到了则清零	 if (clk_cnt < BPS_CNT - 1)clk_cnt <= clk_cnt + 1'b1;elseclk_cnt <= 16'd0;end//数据接收过程结束,计数器清零else                              				clk_cnt <= 16'd0;						
end//进入数据接收过程启动接收数据计数器
always @(posedge clk or negedge rst_n) begin         if (!rst_n)                             rx_cnt  <= 4'd0;  else if (rx_flag) begin//系统时钟计数到一个波特率周期,接收数据计数器加1,没到则不加if (clk_cnt == BPS_CNT - 1)				rx_cnt <= rx_cnt + 1'b1;			 //可以用来判断当前传输的是第几位elserx_cnt <= rx_cnt;       end//接收过程结束,计数器清零elserx_cnt  <= 4'd0;						
end//根据接收数据计数器来寄存uart接收端口数据
always @(posedge clk or negedge rst_n) begin if (!rst_n)  rxdata <= 8'd0;                                     else if(rx_flag)//计数到数据中间的采样结果最稳定if (clk_cnt == BPS_CNT/2) begincase (rx_cnt)//根据rx_cnt的值将uart接收端口的数据寄存到接收数据寄存器对应的位实现串并转换4'd1 : rxdata[0] <= uart_rxd_2;   //寄存数据位最低位4'd2 : rxdata[1] <= uart_rxd_2;4'd3 : rxdata[2] <= uart_rxd_2;4'd4 : rxdata[3] <= uart_rxd_2;4'd5 : rxdata[4] <= uart_rxd_2;4'd6 : rxdata[5] <= uart_rxd_2;4'd7 : rxdata[6] <= uart_rxd_2;4'd8 : rxdata[7] <= uart_rxd_2;   //寄存数据位最高位default:;                                    endcaseendelse rxdata <= rxdata;elserxdata <= 8'd0;
end//数据接收完毕后给出标志信号并寄存输出接收到的数据
always @(posedge clk or negedge rst_n) begin        if (!rst_n) beginuart_data <= 8'd0;                               uart_done <= 1'b0;end//接收数据计数器计数到停止位时,寄存输出接收到的数据并将接收完成标志位拉高else if(rx_cnt == 4'd9) begin                          uart_data <= rxdata;              uart_done <= 1'b1;    endelse beginuart_data <= 8'd0;                                   uart_done <= 1'b0; end    
endendmodule	

 然后还需要一个发送模块,将数据发送给PC端,原理同接收模块大部分一致

module uart_tx(//**************输入**************	 input	           clk,                 //系统50MHz时钟input              rst_n,               //系统复位,低电平有效  input              uart_en,             //发送使能信号input       [ 7:0] uart_din,            //待发送数据//**************输出**************output             uart_tx_busy,        //发送忙状态标志 output             en_flag,             //使能标志位output  reg        tx_flag,             //发送过程标志信号output  reg [ 7:0] tx_data,             //寄存发送数据output  reg [ 3:0] tx_cnt,              //发送数据计数器output  reg        uart_txd             //UART发送端口);//************参数定义************
parameter   CLK_FREQ = 50000000;            //系统时钟频率
parameter   UART_BPS = 9600;                //串口波特率
localparam  BPS_CNT  = CLK_FREQ/UART_BPS;   //波特率计数,串口传输一位所需要的系统时钟周期数//************信号定义************
reg        uart_en_1; 
reg        uart_en_2;  
reg [15:0] clk_cnt;                           //系统时钟计数器//在串口发送过程中给出忙状态标志,其他模块就可以判断串口发送模块是否处于空闲状态
assign uart_tx_busy = tx_flag;//捕获uart_en上升沿,得到一个时钟周期的脉冲信号,进入数据发送过程
assign en_flag = (~uart_en_2) & uart_en_1;//对发送使能信号uart_en延迟两个时钟周期避免亚稳态
always @(posedge clk or negedge rst_n) begin         if (!rst_n) beginuart_en_1 <= 1'b0;                                  uart_en_2 <= 1'b0;end                                                      else begin                                               uart_en_1 <= uart_en;                               uart_en_2 <= uart_en_1;                            end
end//当脉冲信号en_flag到达时,寄存待发送的数据,进入发送过程          
always @(posedge clk or negedge rst_n) begin         if (!rst_n) begin                                  tx_flag <= 1'b0;tx_data <= 8'd0;end //检测到发送使能上升沿,进入发送过程,标志位tx_flag拉高,寄存待发送数据else if (en_flag) begin                                       tx_flag <= 1'b1;tx_data <= uart_din;end//计数到停止位结束时,停止发送过程,标志位tx_flag拉低                                    else if ((tx_cnt == 4'd9) && (clk_cnt == BPS_CNT - (BPS_CNT/16))) begin  //提前1/16个停止位拉低,保证发送数据时间略小于接收数据时间,避免数据积累造成丢失tx_flag <= 1'b0;                                 tx_data <= 8'd0;endelse begintx_flag <= tx_flag;tx_data <= tx_data;end 
end//进入发送过程后,启动系统时钟计数器
always @(posedge clk or negedge rst_n) begin         if (!rst_n)                             clk_cnt <= 16'd0;                                  else if (tx_flag) begin if (clk_cnt < BPS_CNT - 1)clk_cnt <= clk_cnt + 1'b1;elseclk_cnt <= 16'd0; endelse                             clk_cnt <= 16'd0;
end//进入发送过程后,启动发送数据计数器
always @(posedge clk or negedge rst_n) begin         if (!rst_n)                             tx_cnt <= 4'd0;else if (tx_flag) begin if (clk_cnt == BPS_CNT - 1)	tx_cnt <= tx_cnt + 1'b1;elsetx_cnt <= tx_cnt;       endelse                              tx_cnt  <= 4'd0;
end//根据发送数据计数器来给uart发送端口赋值
always @(posedge clk or negedge rst_n) begin        if (!rst_n)  uart_txd <= 1'b1;        else if (tx_flag)case(tx_cnt)4'd0: uart_txd <= 1'b0;         //起始位 4'd1: uart_txd <= tx_data[0];   //数据位最低位4'd2: uart_txd <= tx_data[1];4'd3: uart_txd <= tx_data[2];4'd4: uart_txd <= tx_data[3];4'd5: uart_txd <= tx_data[4];4'd6: uart_txd <= tx_data[5];4'd7: uart_txd <= tx_data[6];4'd8: uart_txd <= tx_data[7];   //数据位最高位4'd9: uart_txd <= 1'b1;         //停止位default: ;endcaseelse uart_txd <= 1'b1;                   //空闲时发送端口为高电平
endendmodule	          

环回模块将串口接收模块接收到的数据发送给串口发送模块

module uart_loop(//**************输入**************input	         clk,                       //系统时钟input            rst_n,                     //系统复位,低电平有效input            recv_done,                 //接收一帧数据完成标志input      [7:0] recv_data,                 //接收的数据input            tx_busy,                   //发送忙状态标志     //**************输出**************	output reg       send_en,                   //发送使能信号output reg [7:0] send_data                  //待发送数据);//************信号定义************
reg recv_done_d0;
reg recv_done_d1;
reg tx_ready;//wire define
wire recv_done_flag;//检测到recv_done上升沿,得到一个时钟周期的脉冲信号,标志着串口接收模块接收到了一帧数据
assign recv_done_flag = (~recv_done_d1) & recv_done_d0;//对发送使能信号recv_done延迟两个时钟周期
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) beginrecv_done_d0 <= 1'b0;                                  recv_done_d1 <= 1'b0;end                                                      else begin                                               recv_done_d0 <= recv_done;                               recv_done_d1 <= recv_done_d0;                            end
end//判断接收完成信号,并在串口发送模块空闲时给出发送使能信号
always @(posedge sys_clk or negedge sys_rst_n) begin         if (!sys_rst_n) begintx_ready  <= 1'b0; send_en   <= 1'b0;send_data <= 8'd0;end                                                      else begin                if(recv_done_flag)begintx_ready  <= 1'b1                   //将tx_ready信号拉高,表示已经准备好了待发送的数据send_en   <= 1'b0;                  //将send_en信号拉低,为接下来产生一个上升沿作准备send_data <= recv_data;             //寄存接收到的数据recv_data到send_data中endelse if(tx_ready && (~tx_busy)) begin   //检测串口发送模块处于空闲状态tx_ready <= 1'b0;                   //准备过程结束,等待下一个串口接收数据的到来send_en  <= 1'b1;                   //拉高发送使能信号以启动串口发送模块的发送过程将寄存到send_data中的数据发送出去endend
endendmodule 

顶层文件

module uart(//**************输入**************input           clk,                //外部50M时钟input           rst_n,              //外部复位信号,低有效input           uart_rxd,           //UART接收端口//**************输出**************output          uart_txd            //UART发送端口);//************参数定义************
parameter  CLK_FREQ = 50000000;         //定义系统时钟频率
parameter  UART_BPS = 115200;           //定义串口波特率//************信号定义************  
wire       uart_recv_done;              //UART接收完成
wire [7:0] uart_recv_data;              //UART接收数据
wire       uart_send_en;                //UART发送使能
wire [7:0] uart_send_data;              //UART发送数据
wire       uart_tx_busy;                //UART发送忙状态标志//串口接收模块     
uart_rx #(                          .CLK_FREQ       (CLK_FREQ),         //设置系统时钟频率.UART_BPS       (UART_BPS))         //设置串口接收波特率
u_uart_recv(                 .clk            (clk), .rst_n          (rst_n),.uart_rxd       (uart_rxd),.uart_done      (uart_recv_done),.uart_data      (uart_recv_data));//串口发送模块    
uart_tx #(                          .CLK_FREQ       (CLK_FREQ),         //设置系统时钟频率.UART_BPS       (UART_BPS))         //设置串口发送波特率
u_uart_send(                 .clk            (clk),.rst_n          (rst_n),.uart_en        (uart_send_en),.uart_din       (uart_send_data),.uart_tx_busy   (uart_tx_busy),.uart_txd       (uart_txd));//串口环回模块    
uart_loop u_uart_loop(.clk            (clk),             .rst_n          (rst_n),           .recv_done      (uart_recv_done),   //接收一帧数据完成标志信号.recv_data      (uart_recv_data),   //接收的数据.tx_busy        (uart_tx_busy),     //发送忙状态标志      .send_en        (uart_send_en),     //发送使能信号.send_data      (uart_send_data)    //待发送数据);endmodule

 参考资料:

正点原子FPGA教程、小梅哥FPGA教程

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/1910.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【Vue/element】 el-table实现表格动态新增/插入/删除 表格行,可编辑单元格

el-table实现表格动态新增/插入/删除 表格行&#xff0c;可编辑单元格 效果如下&#xff1a; 点击“新增一行”可以在表格最后新增一行&#xff0c;单元格内容可编辑 点击绿色按钮&#xff0c;可在指定行的后面插入一行 点击红色-按钮&#xff0c;可以删除指定行 原理&#…

让小程序动起来-轮播图的两种方式--【浅入深出系列003】

浅入深出系列总目录在000集 如何0元学微信小程序–【浅入深出系列000】 文章目录 本系列校训学习资源的选择啥是轮播图轮播图的关键代码最常见的轮播图代码便于理解的轮播代码两种轮播代码的比较 实际操练第一步&#xff0c;就是找到文件。第二步&#xff0c;先改动一下最显眼…

Docker使用总结

Docker 1.什么是 Docker 官网的介绍是“Docker is the world’s leading software container platform.” 官方给Docker的定位是一个应用容器平台。 Docker 是一个容器平台的领导者 Docker 容器平台 Docker 应用容器平台 application项目 Mysql Redis MongoDB ElasticSeacrh …

分布式运用——存储系统Ceph

分布式运用——存储系统Ceph 一、Ceph 介绍1.Ceph 简介2、存储基础2.1 单机存储设备2.2 单机存储的问题2.3 商业存储解决方案2.4 分布式存储&#xff08;软件定义的存储 SDS&#xff09;2.5 分布式存储的类型 3.Ceph 优势3.1 高扩展性3.2 高可靠性3.3 高性能3.4 功能强大 4.Cep…

hybridCLR热更遇到问题

报错1&#xff1a; No ‘git‘ executable was found. Please install Git on your system then restart 下载Git安装&#xff1a; Git - Downloading Package 配置&#xff1a;https://blog.csdn.net/baidu_38246836/article/details/106812067 重启电脑 unity&#xff1a;…

嵌入式工程师常用的软件工具推荐

前言&#xff1a;常言道&#xff1a;工欲善其事&#xff0c;必先利其器。作为一名合格的嵌入式工程师&#xff0c;日常可能需要接触和处理各种奇奇怪怪的问题&#xff0c;这时候一款高适配性的工具将会令工作效率大大提升。作者根据个人的实际使用情况与粉丝的客观感受&#xf…

MySQL表的约束

目录 前言 1.什么是约束 2.空属性 3.默认值 4.列描述 5.zerofill 6.主键 7.自增长 8.唯一键 9.外键 总结 前言 hello&#xff0c;各位小伙伴大家好&#xff0c;本章内容为大家介绍关于MySQL约束的相关内容&#xff0c;关于约束这个概念&#xff0c;如果是第一次接触可…

JAVA ---- 经典排序算法

目录 一. 插入排序 1. 直接插入排序 代码演示 2.希尔排序( 缩小增量排序 ) 二. 选择排序 1.直接选择排序 代码&#xff1a; 2. 堆排序 代码 三. 交换排序 1. 冒泡排序 代码 2. 快速排序 代码&#xff08;有注释&#xff09;&#xff1a; 动图来自网…

ubuntu创建多用户并使用ssh链接

添加多个同时登录的用户 以下内容中的“username”根据自己需求自己定义 1.创建新用户 sudo useradd username2.给新用户添加管理权限 sudo vim /etc/sudoers打开的文件中添加如下内容 username ALL(ALL:ALL) ALL3.设置密码 输入&#xff1a; sudo passwd username打开的…

「软件测试」最全面试问题和回答,全文背熟不拿下offer算我输

一般要应聘关于测试的工作&#xff0c;面试题会不会很难?下面小编整理了软件测试面试题及答案&#xff0c;欢迎参考! 一、引言 1.1 文档目的 本次文档是为了收集在面试中遇到的一问题与常见的一些答案并不是唯一答案 二、职业规划 2.1 简单的自我介绍下 面试宫&#xff…

点大商城V2_2.5.0 全开源版 商家自营+多商户入驻 百度+支付宝+QQ+头条+小程序端+unipp开源前端安装测试教程

播播资源安装点大商城V2_2.5.0 全开源版测试后发现后台总体体验下来比较简洁&#xff0c;营销功能还是挺多该有的都有了&#xff0c;相比上一版优化很多细节。首页和会员中心均支持DIY装修&#xff0c;底部菜单也一样&#xff0c;安装测试中目前未发现BUG&#xff0c;小程序整体…

etcd实现大规模服务治理应用实战

导读&#xff1a;服务治理目前越来越被企业建设所重视&#xff0c;特别现在云原生&#xff0c;微服务等各种技术被更多的企业所应用&#xff0c;本文内容是百度小程序团队基于大模型服务治理实战经验的一些总结&#xff0c;同时结合当前较火的分布式开源kv产品etcd&#xff0c;…

文献阅读笔记——求解车辆路径问题及其变体的元启发式算法的分类综述

论文题目&#xff1a;A taxonomic review of metaheuristic algorithms for solving the vehicle routing problem and its variants 其他信息&#xff1a;Computers & Industrial Engineering|2020|Raafat Elshaer⁎, Hadeer Awad 文章贡献&#xff1a;1&#xff09;对使…

如何用Python搭建监控平台

监控和运维&#xff0c;是互联网工业链上非常重要的一环。监控的目的就是防患于未然。通过监控&#xff0c;我们能够及时了解到企业网络的运行状态。一旦出现安全隐患&#xff0c;你就可以及时预警&#xff0c;或者是以其他方式通知运维人员&#xff0c;让运维监控人员有时间处…

什么是计算机蠕虫?

计算机蠕虫诞生的背景 计算机蠕虫的诞生与计算机网络的发展密切相关。20世纪60年代末和70年代初&#xff0c;互联网还处于早期阶段&#xff0c;存在着相对较少的计算机和网络连接。然而&#xff0c;随着计算机技术的进步和互联网的普及&#xff0c;计算机网络得以迅速扩张&…

S32 Design Studio for ARM(S32DS)下载和安装

1. S32 Design Studio for ARM 介绍 S32 Design Studio for ARM&#xff08;下面简称S32DS&#xff09;&#xff0c;是 NXP 官方在 2014 年官方推出的&#xff0c;专门面向 S32K、KEA、MAC57D54H等系列微控制器的集成开发环境。 S32DS是由Eclipse和一些插件集成而来的开发平台…

kafka消息队列最常用的两种模式,以及应用场景

目录 一、发布-订阅模式 二、点对点模式 三、应用场景 一、发布-订阅模式 发布-订阅模式是最常见的消息传递模式&#xff0c;其中消息发布者将消息发送到一个或多个主题&#xff08;Topic&#xff09;&#xff0c;而订阅者可以选择订阅一个或多个主题来接收消息。每个订阅者…

实现本地缓存-caffeine

目录 实现caffeine cache CacheManager Caffeine配置说明 创建自定义配置类 配置缓存管理器 编写自动提示配置文件 测试使用 创建测试配置实体类 创建测试配置类 创建注解扫描的测试实体 创建单元测试类进行测试 实现caffeine cache CacheManager SimpleCacheManag…

香橙派4和树莓派4B构建K8S集群实践之七: Jenkins

目录 1. 说明 2. 步骤 2.1 准备工作 2.2 安装 2.2.1 用jenkins原站for k8s的安装仓方法安装 2.2.2 Helm 安装 3. 相关命令 4. 遇到的问题 5. 参考 1. 说明 在k8s上部署jenkins&#xff0c;并用 jenkins.k8s-t2.com访问在namespace为devops下安装在指定节点k8s-master-…

欧姆龙以太网模块如何设置ip连接 Kepware opc步骤

在数字化和自动化的今天&#xff0c;PLC在工业控制领域的作用日益重要。然而&#xff0c;PLC通讯口的有限资源成为了困扰工程师们的问题。为了解决这一问题&#xff0c;捷米特推出了JM-ETH-CP转以太网模块&#xff0c;让即插即用的以太网通讯成为可能&#xff0c;不仅有效利用了…