Vue入门——核心知识点

简介

Vue是一套用于构建用户界面渐进式JS框架。

  • 构建用户界面:就是将后端返回来的数据以不同的形式(例如:列表、按钮等)显示在界面上。
  • 渐进式:就是可以按需加载各种库。简单的应用只需要一个核心库即可,复杂的应用可以按照需求引入各种Vue插件。

特点

  1. 采用组件化模式,提高代码复用率、且让代码更好维护。
  2. 声明式编码,让编码人员无需直接操作DOM,提高开发效率。

入门案例

<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root"><h1>Hello {{name}}</h1>//{{name}} 为vue里面的模板</div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系new Vue({el:"#root",//通常为ucss选择器data:{name:"Vue"}})//root已经被第一个vue接管了,因此这个vue实例是无效的// new Vue({//     el:"#root",//通常为ucss选择器//     data:{//         name:"Vue1"//     }// })</script>    
</body>
  • 总结:
  1. Vue实例和容器是一对一的关系
  2. 真实开发过程中只有一个Vue实例,并且会配合组件一起使用
  3. {{xxx}}中的xxx要写js表达式,且xxx可以自动读取到data中的所有属性
  4. 一旦data中的数据发生变化,那么页面中用到该数据的地方也会自动更新

模板语法

Vue模板语法分为2大类:

  1. 插值语法:
    功能:用于解析标签体内容。
    写法:{{xxx}},xxx是js表达式,且可以直接读取到data中的所有属性。

  2. 指令语法:
    功能:用于解析标签(包括:标签属性、标签体内容、绑定事件…)。
    举例:v-bind:href='xxx’或简写为:href=‘xxx’,xxx同样要写js表达式,且可以直接读取到data中的所有属性。
    备注:Vue中有很多的指令,且形式都是:v-???。

<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root"><h1>Hello {{name}}</h1><a v-bind:href="url">点我</a>//v-bind是数据单向绑定的</div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系new Vue({el:"#root",//通常为ucss选择器data:{name:"Vue",url:"https://www.baidu.com"}})</script>    
</body>

数据绑定

数据绑定分为双向数据绑定与单项数据绑定。这点与Android中的jetpack组件databinding一致。毕竟Vue也是采用了MVVM模型。

  • 单向绑定(v-bind):数据只能从data流向页面。
  • 双向绑定(v-model):数据不仅能从data流向页面,还可以从页面流向data。
    备注:
    1. 双向绑定一般都应用在表单类元素上(如:input、select等)。
    2. v-model:value 可以简写为v-model,因为v-model默认收集的就是value值。
<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root">单向数据绑定:<input type="text" v-bind:value="name"/><br/>//input组件里的内容改变不会导致vue里面的存储的数据改变//v-model只能用于表单类元素中(输入类元素)双向数据绑定:<input type="text" v-model:value="name"/><br/>//input组件里的内容改变会导致vue里面的存储的数据改变</div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系new Vue({el:"#root",//通常为ucss选择器data:{name:"Vue",url:"https://www.baidu.com"}})</script>    
</body>

el与data的两种写法

  • el
 const v=new Vue({//1.第一种写法el:"#root",//通常为ucss选择器data:{name:"Vue",url:"https://www.baidu.com"}})
//第二种写法
v.$mount("#root")
  • data
//第一种写法:data:{name:"Vue",url:"https://www.baidu.com"}//第二种写法:
data:function(){console.log('@@@',this)//此处的this是Vue实例return{name :"hello world"}
}//可以简写为:
data{console.log('@@@',this)//此处的this是Vue实例return{name :"hello world"}
}

一个重要原则:由Vue管理的函数,一定不要写箭头函数,因为箭头函数是没有自己的this对象的,只能往外找(箭头函数的this对象是window),一旦写了箭头函数,函数里面的this就不再是Vue实例了。

MVVM模型

  • M:模型(model):data中的数据
  • V:视图(View):模板代码
  • VM:视图模型(ViewModel):Vue实例

在这里插入图片描述

总结:

  1. data中所有的属性,最后都出现在了vm上。
  2. vm身上的所有属性以及Vue原型上的所有属性,在Vue模板中都可以直接使用。

数据代理

  1. Vue中的数据代理:通过vm对象来代理data对象中属性操作(读/写)。
  2. Vue中数据代理的好处:更加方便地操作data中的数据。
  3. 基本原理:通过Object.defineProperty()把data对象中所有属性添加到vm的_data属性上。为每一个添加到vm上的属性,都指定一个getter/setter方法,在getter/setter内部去操作(读/写)data中对应的属性。
 <script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系let data={name:"Vue",url:"https://www.baidu.com"};const v=  new Vue({el:"#root",//通常为ucss选择器data})console.log("====v._data==data ==>"+(v._data==data)) //true//修改_data的属性也可以修改view中的值// v._data.name = "Hello"</script>   
 <script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系let age =10let data={name:"Vue",url:"https://www.baidu.com"};const v=  new Vue({el:"#root",//通常为ucss选择器data})//通过 Object.defineProperty方法为对象添加属性Object.defineProperty(data,'age',{enumerable :true, //控制属性是否可以被枚举,默认是falsewritable:true,//控制属性是否可以被修改,默认是falseconfigurable:true ,//控制属性是否可以被删除,默认是falseget(){console.log("有人读取了age属性")return age},set(value){console.log("有人修改了age属性,值是",value)age = value}})console.log(Object.keys(data))</script>  

事件处理

事件的基本使用:

  1. 使用v-on:xxx或@xxx绑定事件,其中xxx是事件名
  2. 事件的回调需要配置在methods对象中,最终会在vm上
  3. methods中配置的函数,不要用箭头函数,否则this就不是vm
  4. methods中配置的函数,都是被Vue所管理的函数,this的指向是vm或组件实例对象
  5. @click=‘demo’和@click='demo($event)'效果一致,但后者可以传参
<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root"><button ="showInfo($event,11)">点我</button></div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系let age =10let data={name:"Vue",url:"https://www.baidu.com"};const v=  new Vue({el:"#root",//通常为ucss选择器data,methods:{showInfo(event,num){alert('num is '+num +"event is "+event);}}})</script>    
</body>

事件修饰符

  1. prevent:阻止默认事件(常用)
  2. stop:阻止事件冒泡(常用)
  3. once:事件只触发一次(常用)
  4. capture:使用事件的捕获模式
  5. self:只有event.target是当前操作的元素时才触发事件
  6. passive:事件的默认行为立即执行,无需等待事件回调函数执行完毕
   <button .stop.prevent="showInfo($event,11)">点我</button>//事件修饰符可以链式调用

键盘事件

  • Vue中常用的按键别名:
回车enter
删除(包括“删除”和“退格”键)delete
退出esc
空格space
换行tab(需要配合keydown使用)
up
down
left
right
  • Vue中未提供别名的按键,可以使用按键原始的key值去绑定,但注意要转为keytab-case(短横线命名)

  • 系统修饰键(用法特殊):ctrl、alt、shift、meta

    1. 配合keyup使用:按下修饰键的同时,再按下其他键,随后释放其他键,事件才被触发
    2. 配合keydown使用:正常触发事件
  • 也可以使用keycode去指定具体的按键(不推荐,有些键盘的keycode不统一)

  • Vue.config.keyCodes.自定义键名=键码。可以定制按键别名

<input .caps-lock ='showInfo(12)' type="text" v-bind:value="name"/><br/>
<input .tab.y ='showInfo(12)' type="text" v-model:value="name"/><br/>
<input .ctrl.y ='showInfo(12)' type="text" v-model:value="name"/><br/>//点击ctrl+y才调用showInfo方法

计算属性

  • 定义:要用的属性不存在,要通过已有属性(注意是vm已有的属性,如果是通过变量是不行的)计算得来
  • 原理:底层借助Object.defineproperty方法提供的getter和setter
  • get函数什么时候执行?
    1. 初次读取时会执行一次
    2. 当依赖的数据发生变化时会被再次调用
  • 优势:与methods相比,内部右缓存机制,效率高,调试方便
  • 备注:1.计算属性最终会出现在vm上,直接读取使用即可;2.如果计算属性被修改,那必须写set函数去响应修改,且set中要引起计算时依赖的数据发生变化。
<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root">单向数据绑定:<input .caps-lock ='showInfo(12)' type="text" v-bind:value="name"/><br/>双向数据绑定:<input .ctrl.y ='showInfo(12)' type="text" v-model:value="url"/><br/><button ="showInfo($event,11)">点我</button><h1>{{fullName}}</h1></div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//容器与vue实例只能时一对一的关系let age =10let data={name:"Vue",url:"https://www.baidu.com"};const v=  new Vue({el:"#root",//通常为ucss选择器data,//计算属性computed:{//1.完整写法fullName:{get(){return this.name+'-'+this.url},//当fullName被修改时,set方法被调用set(value){console.log('set',value)const arr = value.split('-')this.name = arr[0]this.url = arr[1]}}//2.简写方法,只有读才能够使用简写方式fullName[:function](){//:function也是可以省略的return this.name+'-'+this.url}}})</script>    
</body>

监视属性——watch

  • 当被监视的属性变化时,回调函数自动调用,进行相关操作。
  • 监视的属性必须存在,才能进行监视。
  • Vue中的watch默认不监测对象内部值的改变(一层)。
  • 配置deep:true可以监测对象内部值改变(多层)。
  • 监视的两种写法:1.new Vue时传入watch配置;2.通过vm.$watch监视。
  • 备注:1.Vue自身可以监测对象内部值的改变,但Vue提供的watch方法默认不可以;2.使用watch时根据数据具体结构,决定是否采用深度监测。
  const v=  new Vue({el:"#root",//通常为ucss选择器data,computed:{fullName(){return this.name+'-'+this.url}},//第1种写法watch:{info:{//监视info属性immediate:true,//初始化让handler调用一下//handler什么时候被调用?当info发生改变时handler(newValue,oldValue){console.log('info被修改了',newValue,oldValue)}}}})//第2种写法vm.$watch('info',{deep:true,//监视多级结构种某个属性的变化immediate:true,//初始化让handler调用一下//handler什么时候被调用?当info发生改变时handler(newValue,oldValue){console.log('info被修改了',newValue,oldValue)}})

计算属性vs监视属性

computed和watch之间的区别:

  • computed能完成的功能,watch都可以完成。
  • watch能完成的功能,computed不一定能完成,例如:watch可以进行异步操作。
  • 重要原则:
    1. 所有被Vue管理的函数,最好写成普通函数,这样this的指向才是vm或组件实例对象。
    2. 所有不被Vue所管理的函数(定时器的回调函数、ajax的回调函数等、Promise的回调函数),最好写成箭头函数。这样this的指向才是vm或组件实例对象。

Vue监视数据的原理

  1. vue会监视data中所有层次的数据

  2. 如何监测对象中的数据?
    通过setter实现监视,且要在new Vue时就传入要监视的数据。
    (1)对象中后追加的属性,Vue默认不做响应式处理
    (2)如需给后添加的属性做响应式,使用如下API:Vue.set()/vm.$set()

  3. 如何监测数组中的数据?

  4. 在Vue修改数组中的某个元素一定要用如下方法:

    1. 使用这些API:push()、pop()、shift()、unshift()、splice()、sort()、reverse()
    2. Vue.set()或vm.$set()
  5. 特别注意:Vue.set和vm.$set不能给vm或vm的跟数据对象添加属性!!!

绑定样式

在 Vue.js 中,我们可以使用 v-bind 指令来绑定 style 样式和 class 样式。主要有两种方式绑定 class 和 style,分别是对象语法和数组语法。以下是两种方式的使用:

  1. 对象语法的绑定方式

在需要绑定 style,类名时可以使用 v-bind 绑定一个对象,对象中的 key 就是需要添加的样式名或类名,value 则是这个样式是否需要添加。

绑定class样式:

<div v-bind:class="{ active: isActive }"></div>

在上面的代码中,只有在isActive为true时,才会给这个div添加一个名为active的类。

绑定style样式:

<div v-bind:style="{ color: activeColor, fontSize: fontSize + 'px' }"></div>

在这里,activeColor和fontSize是定义在Vue实例或组件选项中的数据。

  1. 数组语法的绑定方式

另一种方式就是将一个包含样式名或类名的数组 bind 到 class 或者 style。不管数组元素的个数是多少,数组元素可以是字符串或对象。

绑定class样式:

<div v-bind:class="[activeClass, errorClass]"></div>

在这个例子中,activeClass和errorClass都应该是之前已经定义过的数据属性。

绑定style样式:

<div v-bind:style="[baseStyles, overridingStyles]"></div>

在这个例子中,baseStyles和overridingStyles都是之前定义过的样式对象。

条件渲染

  • v-if
    1. 写法:1. v-if=‘表达式’; 2. v-else-if = ‘表达式’;3. v-else=‘表达式’
    2. 适用于:切换频率较低的场景。
    3. 特点:不展示的DOM元素直接被移除
    4. 注意:v-if可以和v-else-if、v-else一起使用,但要求结构不能被”打断“
    5. v-if可以和template一起使用
 <template v-if="true"><h1>Hello</h1><h2>sdsdsd</h2></template>
  • v-show

    1. 写法:v-show=‘表达式’
    2. 适用于:切换频率较高的场景
    3. 特点:不展示的DOM元素未被移除,仅仅是样式隐藏掉
  • 备注:使用v-if时,元素可能无法获取到,而使用v-show一定可以获取到

在这里插入图片描述

列表渲染

在这里插入图片描述

过滤器

  • 定义:对要显示的数据进行特定格式化后再显示(适用于一些简单逻辑的处理)。
  • 语法:
    1. 注册过滤器:Vue.filter(name,callback)或new Vue(filters:{}).
    2. 使用过滤器:{{xxx|过滤器名}} 或v-bind:属性="xxx | 过滤器名 "
  • 备注:
    1. 过滤器也可以接受额外参数,多个过滤器也可以串联
    2. 并没有改变原本的数据,时产生新的数据
<!DOCTYPE html>
<head><script src="https://cdn.jsdelivr.net/npm/vue@2.7.14"></script>
</head>
<body><div id="root"><h3>{{time|timeFormation('YYYY_MM_DD')|mySlice}}</h3></div><script type="text/javascript">Vue.config.productionTip = false //阻止vue在启动时生成生产提示//全局过滤器Vue.filter('mySlice',function(value){return value.slice(0,4)})let age =10let data={name:"Vue",url:"https://www.baidu.com",time:1621685748334};const v=  new Vue({el:"#root",//通常为ucss选择器data,//局部过滤器filters:{timeFormation(value,str='YYYY年MM月DD日 HH:mm:ss'){return dayjs(value).format(str)},mySlice(value){return value.slice(0,4)}}})vm.$watch('info',{immediate:true,//初始化让handler调用一下//handler什么时候被调用?当info发生改变时handler(newValue,oldValue){console.log('info被修改了',newValue,oldValue)}})</script>    
</body>

v-once指令

  • v-once所在节点初次动态渲染后,就视为静态内容了
  • 以后数据的改变不会引起v-once所在结构的更新,可以用于性能优化

v-cloak指令

  • 本质上是一个特殊属性,Vue实例创建完毕并接管容器后,会删掉v-cloak属性
  • 配合使用css的{ display: none }可以解决网速慢时页面展示出{{xxx}}的问题

v-html指令

  • 向指定节点中渲染包含html结构的内容。
  • v-html替换节点中所有的内容,{{xxx}}则不会;v-html可以识别html结构。
  • v-html有安全性问题:1.在网站上动态渲染任意html是非常危险的,容易导致XSS攻击;一定要在可信的内容上使用v-html,永远不要用在用户提交的内容上。

v-pre指令

  • 跳过其所在节点的编译过程,与 v-cloak的功能相反
  • 可利用它跳过:没有使用指令语法、没有使用插值语法的节点,会加快编译速度

自定义指令

在 Vue 中,除了内置指令 (v-model, v-show, v-else 等) 外,也可以自定义指令。自定义指令的语法和使用方式如下:

1.全局自定义指令

Vue.directive('directiveName', {bind: function (el, binding, vnode, oldVnode) {// 这里写你的代码,比如操作 DOM},// 同样,你可以提供其他的钩子函数,如 inserted, update, componentUpdated, unbind 等
});// 使用时,在元素上添加 v-directiveName

2.局部自定义指令 (在某个 Vue 实例或组件中定义)

new Vue({el: '#app',directives: {'directiveName': {bind: function (el, binding, vnode, oldVnode) {// 这里写你的代码,比如操作 DOM},// 同样,你可以提供其他的钩子函数,如 inserted, update, componentUpdated, unbind 等}}
});

自定义指令提供了几个钩子函数如 bind、inserted、update、componentUpdated 和 unbind,它们的意义如下:

  • bind:只调用一次,指令第一次绑定到元素时调用。
  • inserted:被绑定元素插入父节点时调用。
  • update:所在组件的 VNode 更新时调用。
  • componentUpdated:指令所在组件的 VNode 及其子 VNode 全部更新后调用。
  • unbind:只调用一次,指令与元素解绑时调用。

这些钩子函数的参数如下:

  • el: 指令所绑定的元素,可以用来直接操作 DOM 。
  • binding: 一个对象,包含以下属性:
    • name:指令名,不包括 v- 前缀,指令名如果是多个单词,要使用kebab-case命名,不要使用camelCase命名。
    • value:指令的绑定值,例如:v-directiveName=“1 + 1”,value 的值是 2。
    • oldValue:指令绑定前的值。
    • expression:字符串形式的指令表达式。
    • arg:传给指令的参数。
    • modifiers:一个包含修饰符的对象。
  • vnode:Vue 编译生成的虚拟节点。
  • oldVnode:上一个虚拟节点,仅在 update 和 componentUpdated 钩子中可用。

React和Vue中key的作用与原理

  • 虚拟dom中key的作用:
    key是虚拟dom对象的标识,当数据发生变化时 Vue会根据【新数据】生成【新的虚拟DOM】,随后Vue进行【新虚拟DOM】与【旧虚拟DOM】的差异比较。

  • 对比规则:

    1. 旧虚拟dom中找到了与新虚拟dom相同的key——若虚拟dom中内容没变,直接使用之前的真实dom;若虚拟dom中内容变了,则生成新的真实dom,随后替换掉页面中之前的真实dom。
    2. 旧虚拟dom中未找到与新虚拟dom相同的key——创建新的真实dom,随后渲染到页面。
  • 用index作为key可能会引发的问题:

    1. 若对数据进行逆序添加,逆序删除等破坏顺序操作:会产生没有必要的真实dom更新===> 界面效果没有问题,但效率低。
    2. 如果结构中还包含了输入类的dom:会产生错误dom更新===>界面有问题。
  • 并发中如何选择key?

    1. 最好只有每条数据的唯一标识作为key,比如id、手机号等。
    2. 如果不存在对数据的逆序添加,逆序删除等破坏顺序的操作,仅用于渲染列表用于展示,使用index作为key也是没有问题的。

Vue中的生命周期

在这里插入图片描述

  • 总结
    1. mounted:发送ajax请求,启动定时器,绑定自定义事件、订阅消息等【初始化操作】。
    2. beforeDestory:清除定时器、解绑自定义事件、取消订阅消息等【收尾工作】。
    3. 销毁后借助Vue开发者工具看不到任何消息。
    4. 销毁后自定义事件会失效,但原生dom事件依然有效。
    5. 一般不会在beforeDestory操作数据,因为即便操作数据,也不会再触发更新流程了。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/126922.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

AR的光学原理?

AR智能眼镜的光学成像系统 AR眼镜的光学成像系统由微型显示屏和光学镜片组成&#xff0c;可以将其理解为智能手机的屏幕。 增强现实&#xff0c;从本质上说&#xff0c;是将设备生成的影像与现实世界进行叠加融合。这种技术基本就是通过光学镜片组件对微型显示屏幕发出的光线…

[Machine Learning][Part 7]神经网络的基本组成结构

这里我们将探索神经元/单元和层的内部工作原理。特别是,与之前学习的回归/线性模型和逻辑模型进行比较。最后接介绍tensorflow以及如何利用tensorflow来实现这些模型。 神经网络和大脑的神经元工作原理类似&#xff0c;但是比大脑的工作原理要简单的多。大脑中神经元的工作原理…

python自动化测试(九):EcShop添加商品功能

前置条件&#xff1a; 本地部署&#xff1a;ECShop的版本是3.0.0、Google版本是 Google Chrome65.0.3325.162 (正式版本) &#xff08;32 位&#xff09; py的selenium版本是3.11.0 目录 一、前置代码 二、添加商品操作 2.1 点击添加商品 2.2 添加名称、分类、品牌 2…

flask 实践

flask框架研究&#xff1a; https://blog.csdn.net/shifengboy/article/details/114274271 https://blog.csdn.net/weixin_67531112/article/details/128256170 实现下载文件功能 vim test.py import io from flask import Flask, send_fileapp Flask(__name__) app.route(/…

QML 创建 Web 混合应用

作者: 一去、二三里 个人微信号: iwaleon 微信公众号: 高效程序员 随着互联网的快速发展,Web 应用在各个领域中变得越来越流行。为了满足用户对多样化功能的需求,我们经常需要将 Web 技术和原生应用相结合,来创建混合应用程序。 混合应用程序:是一种应用程序开发方法,它…

程序员不得不知道的三大编程语言,看看你了解吗?

作为一名合格的程序员&#xff0c;不仅要有过硬的技术&#xff0c;还要了解许多基础知识。编程语言可是程序员工作的主力军&#xff0c;但是它是如何产生和发展的&#xff0c;你知道吗&#xff1f;接下来就让我们一起来看看编程语言和它们的发展吧&#xff01;记得点赞加收藏哦…

自学SLAM(6)相机与图像实践:OpenCV处理图像与图像拼接(点云)

前言 如果写过SLAM14讲第一次的作业&#xff0c;或者看过我之前的运行ORB_SLAM2教程应该都安装过OpenCV了&#xff0c;如果没有安装&#xff0c;没关系&#xff0c;可以看我之前的博客&#xff0c;里面有如何安装OpenCV。 链接: 运行ORB-SLAM2&#xff08;含OpenCV的安装&…

【AI视野·今日NLP 自然语言处理论文速览 第六十一期】Tue, 24 Oct 2023

AI视野今日CS.NLP 自然语言处理论文速览 Tue, 24 Oct 2023 (showing first 100 of 207 entries) Totally 100 papers &#x1f449;上期速览✈更多精彩请移步主页 Daily Computation and Language Papers LINC: A Neurosymbolic Approach for Logical Reasoning by Combining …

Ajax学习笔记第4天

做决定之前仔细考虑&#xff0c;一旦作了决定就要勇往直前、坚持到底&#xff01; 【1 模仿百度招聘】 整个流程展示&#xff1a; 1.文件目录 2.页面效果展示及代码 data中的page1数据展示 2.1 主页 index.html:index里面代码部分解释 underscore.js :模板页面的相关代码 &…

清华大模型GLM

2022年,清华大学发布了一款具有重要意义的 GLM 大模型,它不仅在中文语言处理方面取得了显著的进展,还在英文语言处理方面表现出了强大的能力。GLM大模型区别于OpenAI GPT在线大模型只能通过API方式获取在线支持的窘境,GLM大模型属于开源大模型,可以本地部署进行行业微调、…

基于Langchain+向量数据库+ChatGPT构建企业级知识库

▼最近直播超级多&#xff0c;预约保你有收获 近期直播&#xff1a;《基于 LLM 大模型的向量数据库企业级应用实践》 1— LangChain 是什么&#xff1f; 众所周知 OpenAI 的 API 无法联网的&#xff0c;所以如果只使用自己的功能实现联网搜索并给出回答、总结 PDF 文档、基于某…

主从复制(gtid方式)

基于事务的Replication&#xff0c;就是利用GTID来实现的复制 GTID&#xff08;全局事务标示符&#xff09;最初由google实现&#xff0c;在MySQL 5.6中引入.GTID在事务提交时生成&#xff0c;由UUID和事务ID组成.uuid会在第一次启动MySQL时生成&#xff0c;保存在数据目录下的…

一台服务器安装两个mysql、重置数据库用于测试使用

文章目录 一、切数据库数据存储文件夹已经存在数据库数据文件夹新建数据库数据文件夹 二、安装第二个mysql安装新数据库初始化数据库数据启动数据库关闭数据库 三、mysqld_multi单机多实例部署参考文档 一、切数据库数据存储文件夹 这个方法可以让你不用安装新的数据库&#x…

10.30寄存器,寄存器堆

寄存器 8位环形移位寄存器 module shift_regist (input wire clk,input wire rstn,input wire [7:0]D,output reg [7:0]Q ); always (posedge clk or negedge rstn) beginif(!rstn)Q<8b000000;elseQ<{D[6:0],D[7]} ; end endmodule //shift_regist 输入有时钟…

【Verilog 教程】7.3 Verilog 串行 FIR 滤波器设计

串行 FIR 滤波器设计 设计说明 设计参数不变&#xff0c;与并行 FIR 滤波器参数一致。即&#xff0c;输入频率为 7.5 MHz 和 250 KHz 的正弦波混合信号&#xff0c;经过 FIR 滤波器后&#xff0c;高频信号 7.5MHz 被滤除&#xff0c;只保留 250KMHz 的信号。 输入频率&#x…

【Linux】安装使用Nginx负载均衡,并且部署前端项目

目录 一、Nginx概述 1. 什么 2. 背景 3. 作用 二、Nginx负载均衡 1. 讲述 2. 使用 1. 下载 2. 安装 3. 负载均衡 三、前端部署 1. 准备 2. 部署 一、Nginx概述 1. 什么 Nginx是一个高性能的开源Web服务器和反向代理服务器。它具有轻量级、高并发、低内存消耗的…

一文深入了解 CPU 的型号、代际架构与微架构

在 10 月 16 号的时候&#xff0c;Intel 正式发布了第 14 代的酷睿处理器。但还有很多同学看不懂这种发布会上发布的各种 CPU 参数。借着这个时机&#xff0c;给大家深入地讲讲 CPU 的型号规则、代际架构与微架构方面的知识。 CPU 在整个计算机硬件中、技术体系中都算是最最重…

18.自监督视觉`transformer`模型DINO

文章目录 自监督视觉`transformer`模型DINO总体介绍DINO中使用的SSL和KD方法multicrop strategy损失函数定义`teacher`输出的中心化与锐化模型总体结构及应用reference欢迎访问个人网络日志🌹🌹知行空间🌹🌹 自监督视觉transformer模型DINO 总体介绍 论文:1.Emerging …

京东协议算法最新版

环境准备 1 com.jingdong.app.mall11.6.4 入口定位 逆向分析&#xff0c;发现 params 里面有一个 sign 以及请求头里面有一个 jdgs 首先我们发现京东的 sign 是 32 位的&#xff0c;猜测其可能是 md5 之类的 hash 算法&#xff0c;既然是 hash 算法&#xff0c;那么就大概率…

Test-Agent----基于Centos7系统部署Test-Agent

【Test-Agent----基于Centos7系统部署Test-Agent】 一、部署 Test-Agent 1.1 环境准备 环境基本信息&#xff1a;Centos7.9操作系统&#xff0c;16核64G1T硬盘&#xff0c;Python3.9.7 1.2 部署 Test-Agent &#xff08;1&#xff09;安装git-lfs cd /opt curl -s https:…