锁存器的工作原理及其在FPGA设计中的注意事项

锁存器(Latch)是数字电子中常用的一种基本元件,用于在特定的时间点或条件下“锁存”或保存输入的数据值。锁存器对脉冲电平敏感,它只在输入脉冲的高电平(或低电平)期间对输入信号敏感并改变状态。在数字电路中可以记录二进制数字信号“ 0”和 1”。

锁存器的主要作用:

  • 缓存数据。
  • 解决高速控制器与慢速外设之间的不同步问题。
  • 解决驱动问题。
  • 解决一个I/O口既能输出也能输入的问题。

锁存器的工作原理

  • 锁存器的工作是基于电平控制数据的输入,包括不带使能控制的锁存器和带使能控制的锁存器。
  • 在没有锁存信号时,锁存器的输出随输入信号变化,就像信号通过一个缓冲器一样。
  • 一旦锁存信号起作用,数据被锁住,输入信号不再影响输出。这意味着在有锁存信号时,输入的状态被保存到输出,直到下一个锁存信号。

锁存器不同于触发器,锁存器在不锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓存器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。因此锁存器也称为透明锁存器,指的是不锁存时输出对输入是透明的。

锁存器的类型:

  • 常见的锁存器类型包括RS锁存器(Reset-Set Latch)、D锁存器(Data Latch)等。
  • RS锁存器:当S(Set)输入为高电平且R(Reset)输入为低电平时,输出Q被置位为高电平;当S为低电平且R为高电平时,输出Q被复位为低电平。
  • D锁存器:有一个数据输入端(D)和一个使能端(E)。当使能信号有效时,D锁存器捕获D端的信号并保存在输出Q上;当使能信号无效时,输出Q保持不变,直到下一个使能脉冲到来。

1 RS锁存器

从RS锁存器的电路结构图我们可以看出,该电路主要是由两个部分组成,第一个部分是由两个与门组成的RS锁存器,第二个部分是由两个或非门组成的控制电路。R为复位信号,S为置位信号,C为控制信号,用来控制前两个与门的激励输入。

下面我们来分析下RS锁存器的工作原理,当控制信号C=0时,根据与门的逻辑定律,无论R和S输入什么信号, RD和 SD信号同时为 0。 根据由或门组成的 RS锁存器的逻辑定律, RD和 SD都同时
等于 0的话,锁存器的输出端 Q将维持原状态不变,即处于保持状态。

当控制端 C=0时:电路处于保持状态,RS锁存器不起作用。

当控制端 C=1时:

  • 若R=0,S=0,即无激励信号时,有以下两种情况:

锁存器输出结果为:Qn+1 = Qn

其中 Qn是指触发器当前逻辑状态(即触发前的状态), Qn+1是指触发后的状态。

  • 若R=1,S=0,即置位信号为0

锁存器输出结果为:Qn+1 = 0

  • 若R=0,S=1,即置位信号为1

锁存器输出结果为:Qn+1 = 1

  • 若R=1,S=1,即置位、复位信号同时为1

当激励信号由11变为00时,锁存器的输出既可以稳定在1状态,也可以稳定在0状态。 这时的状态是不稳定的,所以我们要禁止使用11这一组激励信号。

根据上面的描述,可以推断出RS锁存器的特性表,其中 Qn是指触发器当前逻辑状态(即触发前的状态), Qn+1是指触发后的状态。

从上表可以看出,只有在置位或复位信号为1时,RS锁存器才起作用的,都为0时不起作用

RS锁存器的工作波形图如下:

2 D锁存器

从D锁存器的电路结构图我们可以看出,该电路主要是由两个部分组成,第一个部分是由两个与非门组成的RS锁存器,第二个部分是由两个与非门组成的控制电路。C为控制信号,用来控制前两个与非门的激励输入。
下面我们来分析下D锁存器的工作原理,当控制信号C=0时,根据与非门的逻辑定律,无论D输入什么信号, RD和 SD信号同时为 1。 根据由与非门组成的 RS锁存器的逻辑定律, RD和 SD都同时
等于 1的话,锁存器的输出端 Q将维持原状态不变,即处于保持状态。

当控制端 C=1时,如果此时 D= 0, SD就等于1, RD就等于 0,根据 RS锁存器的逻辑规律,电路的结果就为 0状态;如果 D = 1,那么 RD就等于 1,SD也就等于 0,锁存器的结果就为 1状态,也就是说,此时锁存器的状态是由激励输入端 D来确定的,并且 D等于什么,锁存器的状态就是什么,电路不再保持原来的状态,将处于一个新状态D。这就是将单路数据 D存入到锁存器之中。

根据上面的描述,可以推断出D锁存器的特性表,其中 Qn是指触发器当前逻辑状态(即触发前的状态), Qn+1是指触发后的状态。

通过这个表格,我们可以看出,当C为 1时, D的状态和 Qn+1的状态完全一样,当 D=0时, Qn+1=0,当 D=1时, Qn+1=1。
还可以进一步画出D锁存器的工作波形图。

从D锁存器的工作波形图图中我们可以看出, D是锁存器的输入信号, C是锁存器的控制信号,
Q是锁存器的输出信号。

当控制信号 C为高电平时:

  • 输出信号 Q将跟随输入信号 D的变化而变化。
  • 虚线内, Q的波形等于 D的波形。

当控制信号 C从高电平变为低电平时,输入信号 D的状态将会决定锁存器将要锁存的状态。

C由高变低时:

  • 若所对应的输入信号 D为低电平,那么输出信号 Q也将会锁存低电平。
  • 若所对应的输入信号 D为高电平,那么输出信号 Q也将会锁存高电平。

3 锁存器的缺点

在绝大多数FPGA设计中,要避免产生锁存器。它会让您设计的时序出问题,并且它的隐蔽性很强,新人很难查出问题。锁存器最大的危害在于不能过滤毛刺和影响工具进行时序分析。这对于下一级电路是极其危险的。所以,只要能用触发器的地方,就不用锁存器。

锁存器的示意图如上,它没有时钟信号,只有数据输入和使能以及输出q端,没有时钟信号也就说明我们没有办法对这种器件进行时序分析,这个在时序电路里面是非常危险的行为,因为可能引起时序不满足导致电路功能实现有问题。

4 FPGA设计中使用锁存器的注意事项

一般出现下面两种情况时,组合逻辑代码在综合过程中会出现锁存器:

  • if语句中缺少else分支;
  • case语句中缺少default分支。

解决办法:

就是if必须带else分支,case必须带default分支。

注意:
只有不带时钟的always语句中if或者case语句不完整才会产生latch,带时钟的语句if或者case语句不完整描述不会产生latch。

5 在FPGA中使用锁存器

5.1 不带else的always语句(没有clk)

module latch(
input clk, // system clock 6 
input a, 
input b, 
output reg y 
); always @ (*) begin if (a == 1) y = b ; end
endmodule

使用vivado中RTL ANALYSIS的Schematic来看综合后的电路结构,

从上图可以看出,这个寄存器没有clk输入信号,图上标识出latch的名字,可以看出这个电路就是latch。

5.2 else的always语句(没有clk)

下面我们把else补充完整再来看下电路结构, 代码如下:

module latch(
input clk, // system clock 6 
input a, 
input b, 
output reg y 
); always @ (*) begin if (a == 1) y = b ; elsey = 0;end
endmodule

使用vivado中RTL ANALYSIS的Schematic来看综合后的电路结构,

上图所示的电路结构是一个mux选择电路,可以看出,加了else分支的电路就不会有latch电路。

5.3 不带default的case语句

module latch(
input clk, // system clock 6 
input a, 
input b, 
output reg y 
); always @ (*) begin case ( a ) 0 : y = b ; endcaseend
endmodule

使用vivado中RTL ANALYSIS的Schematic来看综合后的电路结构,

从上图可以看出,这个寄存器没有clk输入信号,图上标识出latch的名字,可以看出综合出的这个电路就是latch。

5.4 带default的case语句

module latch(
input clk, // system clock 6 
input a, 
input b, 
output reg y 
); always @ (*) begin case ( a ) 0 : y = b ; default : y = 0 ;endcaseend
endmodule

使用vivado中RTL ANALYSIS的Schematic来看综合后的电路结构,

综合后产生的是一个mux选择电路,因此,加了case的default分支的电路就不会有latch电路。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/bicheng/28478.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

vba学习系列(5)--指定区域指定字符串计数

系列文章目录 文章目录 系列文章目录前言一、需求背景二、vba自定义函数1.引入库 总结 前言 一、需求背景 想知道所有客诉项目里面什么项目最多,出现过多少次。 二、vba自定义函数 1.引入库 引用: CountCharInRange(区域,“字符串”) Function CountCh…

人有时候不逼自己一把,永远不知道自己有多牛逼!

大家好,我是墨云,一位看起来不像搞技术的IT男。 之前写过一篇文章,从零开始,如何在3个月内吸引3500用户:我的私域运营秘诀,现如今,我们的私域社群成员数已逾五千人。👫 今天的主题&a…

【漏洞复现】红海云eHR PtFjk.mob 任意文件上传漏洞

免责声明: 本文内容旨在提供有关特定漏洞或安全漏洞的信息,以帮助用户更好地了解可能存在的风险。公布此类信息的目的在于促进网络安全意识和技术进步,并非出于任何恶意目的。阅读者应该明白,在利用本文提到的漏洞信息或进行相关测…

【图解IO与Netty系列】Netty编解码器、TCP粘包拆包问题处理、Netty心跳检测机制

Netty编解码器、TCP粘包拆包问题处理、Netty心跳检测机制 Netty编解码器编码器解码器编解码器Netty提供的现成编解码器 TCP粘包拆包问题处理Netty心跳检测机制 Netty编解码器 网络传输是以字节流的形式传输的,而我们的应用程序一般不会直接对字节流进行处理&#x…

System-Verilog 实现DE2-115 流水灯

文章目录 一、什么是SystemVerilog二、代码实现实现结果 一、什么是SystemVerilog SystemVerilog是一种硬件描述语言(HDL),它用于设计和验证电子系统,特别是在集成电路(IC)和系统级芯片(SoC)的设计过程中。SystemVerilog是Verilog语言的一个超集&#xf…

大模型生成的常见Top-k、Top-p、Temperature参数

参考: https://zhuanlan.zhihu.com/p/669661536 topK,topP https://www.douyin.com/video/7380126984573127945 主要是softmax产生的词表每个词的概率分布后, topK,比如K3,表示采样概率最大的前3个,其他全…

【Perl】与【Excel】

引言 perl脚本语言对于文本的处理、转换很强大。对于一些信息量庞大的文本文件,看起来不直观,可以将信息提取至excel表格中,增加数据分析的可视化。perl语言的cpan提供了大量模块。对于excel文件的操作主要用到模块: Spreadshee…

【论文速读,找找启发点】2024/6/16

ICME 2023 End-To-End Part-Level Action Parsing With Transformer 类似 DETR,通过 加 query的方式实现 端到端 ELAN: Enhancing Temporal Action Detection with Location Awareness 如何实现位置感知? > 重叠的卷积核? Do we really …

大数据开发流程解析

大数据开发是一个复杂且系统的过程,涉及需求分析、数据探查、指标管理、模型设计、ETL开发、数据验证、任务调度以及上线管理等多个阶段。本文将详细介绍每个阶段的内容,并提供相关示例和代码示例,帮助理解和实施大数据开发流程。 本文中的示…

基于WPF技术的换热站智能监控系统02--标题栏实现

1、布局划分 2、准备图片资源 3、界面UI控件 4、窗体拖动和关闭 5、运行效果 走过路过不要错过,点赞关注收藏又圈粉,共同致富,为财务自由作出贡献

CodeQL从入门到入土

为什么在现在写这文章 CodeQL传闻找到了Log4j的漏洞,这段时间上边要求,把公司系统内部常见的问题用CodeQL写检测脚本,用于系统长期检测。主要是记录这段时间的学习,以及自己总结的常用写法。 一个需求,不同人写出来的…

【Go语言】Gin 框架教程

Gin 框架教程 1.第一个 Gin 程序 1.1 Gin 安装 # 执行执行如下操作即可,安装Gin前需要安装Go环境 go get -u -v github.com/gin-gonic/gin # -v:打印出被构建的代码包的名字 # -u:已存在相关的代码包,强行更新代码包及其依赖包…

框架的使用

什么是框架? 盖房子,框架结构 框架结构就是房子主体,基本功能 把很多基础功能已经实现(封装了) 框架:在基础语言之上,对各种基础功能进行封装,方便开发者,提高开发效…

JavaFX 图像视图

JavaFX ImageView 控件可以在 JavaFX GUI 中显示图像。ImageView 控件必须添加到场景图中才能可见。JavaFX ImageView 控件由类表示 javafx.scene.image.ImageView。 创建一个 ImageView 通过创建类的实例来创建 ImageView 控件实例ImageView。类的构造函数ImageView需要一个…

02 设计过程概述

02 设计过程概述 2-1 设计需求2-2 飞机设计的各个阶段2-2-1 概念设计2-2-2 初步设计2-2-3 详细设计 2-3 飞机概念设计的流程2-4 集成产品开发和飞机设计2-5 补充2-5-1 布局设计(Configuration Design)关键任务:作用和重要性:使用领…

ARIMA模型与ARIMA-GARCH模型预测时间序列

上世纪 70 年代初,Ljung 等人提出 ARIMA 模型,又称求和自回归移动平均模型。其思想 是针对于非平稳时间序列进行数学建模,将其通过差分运算后 进行相关数据刻画 ,变为一个平稳的新序列,进而进行相关数据的刻画。 自 1…

Sping源码(九)—— Bean的初始化(非懒加载)— Bean的创建方式(自定义BeanPostProcessor)

序言 之前文章有介绍采用FactoryBean的方式创建对象,以及使用反射创建对象。 这篇文章继续介绍Spring中创建Bean的形式之一——自定义BeanPostProcessor。 之前在介绍BeanPostProcessor的文章中有提到,BeanPostProcessor接口的实现中有一个Instantiatio…

[文献解读]:斯坦福最新研究-HumanPlus:人形机器人跟踪和模仿人类

摘要 制造具有与人类相似外形的机器人的关键论点之一是,我们可以利用大量人类数据进行训练。然而,由于人形机器人感知和控制的复杂性、人形机器人与人类在形态和驱动方面仍然存在的物理差距,以及人形机器人缺乏从自我中心视觉学习自主技能的…

马克·雷伯特访谈:机器人的未来及波士顿动力的创新之路

引言 机器人技术作为现代科技的前沿领域,始终吸引着大量的关注与研究。波士顿动力公司作为这一领域的领军者,其创始人兼前CEO马克雷伯特(Marc Raibert)近日在主持人莱克斯弗里德曼(Lex Fridman)的播客节目…

如何用 ChatGPT DALL-E3绘画(10个案例)

如何用ChatGPT绘画——10个案例(附提示词) DALL•E 3可以在ChatGPT plus里直接使用了。 如果想免费使用,可以用新必应免费使用。 上次有个朋友问:DALL•E 3 有什么用。 这里用十个案例,来解释一下这个问题。 1.创…