计算机组成实验---Cache的实现

直接映射

先看懂cache的映射原理,根据cache大小与主存大小来计算各个信号线的位数

各个信号线位数

主存地址在逻辑上分为区号、块号、块内地址

Cache结构

Cache访问原理

基本过程

状态机:“三段式”实现 6.3 Verilog 状态机 | 菜鸟教程 (runoob.com)

// TODO: 编写状态机现态的更新逻辑

// TODO: 编写状态机的状态转移逻辑

// TODO: 生成状态机的输出信号

状态机设计

再分析并确定各个状态下的输入输出,完善状态机。

编写状态机更新逻辑

这个类似模板了

// TODO: 编写状态机现态的更新逻辑always @(posedge cpu_clk or posedge cpu_rst) beginif(cpu_rst) beginsta <= 2'b0;endelse beginsta <= nex_sta;endend
编写状态机状态转移信号

需要以下变量:新的访问请求信号、命中信号、缺失(不命中)、主存数据已返回

    // TODO: 编写状态机的状态转移逻辑always@(*) begin if(cpu_rst) beginnex_sta = IDLE;endelse begincase(sta) IDLE: begin if(inst_rreq == 1'b1) begin nex_sta = TAG_CHECK;endelse begin nex_sta = IDLE;endendTAG_CHECK:begin if(hit) beginnex_sta = IDLE;endelse begin nex_sta = REFILL;endendREFILL: beginif(mem_rvalid) beginnex_sta = TAG_CHECK;endelse begin nex_sta = REFILL;endenddefault: nex_sta = IDLE;endcaseendend
编写状态机输出信号

分为向主存输出和向cpu输出

IDLE状态下是赋默认值

TAG_CHECK状态下是看否命中,来确定输出(若未命中,要从主存里读东西出来,放到cache里面,再从cahce里来一次是否命中的判断)

REFILL状态下,如果主存准备信号(mem_rrdy)为真,则可以发送主存读所需要的地址和使能信号(注意只提供一个时钟周期)

错误总结:

  1. 一直在思考如何让发送给主存的信号只有一个时钟周期,由于有 mem_rrdy 的限制,以及不符合打两拍的场景,所以打两拍操作最后是不行的(打两拍可参照inst_valid和inst_out单周期有效的方法,打两拍的应用场景:要在单位信号从0到1,的属于1的那个周期里,发送一个周期的有效信号)
  2. 还有,块是整块取,块的取址地址要是模四为零的。
  3. 忘了mem_addr的有效时间只有一个周期,在后面也使用到了它,哭哭。

贴一个完整代码

`timescale 1ns / 1ps`define BLK_LEN  4`define BLK_SIZE (`BLK_LEN*32)module ICache(input  wire         cpu_clk,input  wire         cpu_rst,        // high active// Interface to CPUinput  wire         inst_rreq,      // 来自CPU的取指请求input  wire [31:0]  inst_addr,      // 来自CPU的取指地址output reg          inst_valid,     // 输出给CPU的指令有效信号(读指令命中)output reg  [31:0]  inst_out,       // 输出给CPU的指令// Interface to Read Businput  wire         mem_rrdy,       // 主存就绪信号(高电平表示主存可接收ICache的读请求)output reg  [ 3:0]  mem_ren,        // 输出给主存的读使能信号output reg  [31:0]  mem_raddr,      // 输出给主存的读地址input  wire         mem_rvalid,     // 来自主存的数据有效信号input  wire [`BLK_SIZE-1:0] mem_rdata   // 来自主存的读数据
);`ifdef ENABLE_ICACHE    /******** 不要修改此行代码 ********/wire [4:0] tag_from_cpu   = inst_addr[14:10];    // 主存地址的TAGwire [3:0] offset         = inst_addr[3:0];    // 32位字偏移量wire       valid_bit      = cache_line_r[`BLK_SIZE + 5];    // Cache行的有效位wire [4:0] tag_from_cache = cache_line_r[`BLK_SIZE + 4 : `BLK_SIZE];    // Cache行的TAG// TODO: 定义ICache状态机的状态变量parameter IDLE = 2'b00;parameter TAG_CHECK = 2'b01;parameter REFILL = 2'b10;reg [1:0] sta, nex_sta;wire hit = (sta == TAG_CHECK) ? (valid_bit && (tag_from_cache == tag_from_cpu)) : 1'b0;wire[6:0] offset_bit = {offset,3'b000};wire[`BLK_SIZE + 5:0] data_out = cache_line_r >> offset_bit;always @(*) beginif(hit & hit_n) begin inst_valid = 1'b1;inst_out   = data_out[31:0];/* TODO: 根据字偏移,选择Cache行中的某个32位字输出指令 */endelse begin inst_valid = 1'b0;inst_out = 32'b0;endendreg hit_n ;always@(posedge cpu_clk) begin hit_n <= ~hit;endreg inst_addr_reg;wire       cache_we     = mem_rvalid;     // ICache存储体的写使能信号wire [5:0] cache_index  = inst_addr[9:4];     // 主存地址的Cache索引 / ICache存储体的地址wire [`BLK_SIZE + 5:0] cache_line_w = {1'b1,inst_addr[14:10],mem_rdata};     // 待写入ICache的Cache行wire [`BLK_SIZE + 5:0] cache_line_r;                  // 从ICache读出的Cache行//135 = 1(有效位) + 7(TAG的位数) +  128(数据位数)// ICache存储体:Block MEM IP核blk_mem_gen_1 U_isram (.clka   (cpu_clk),.wea    (cache_we),.addra  (cache_index),.dina   (cache_line_w),.douta  (cache_line_r));// TODO: 编写状态机现态的更新逻辑always @(posedge cpu_clk or posedge cpu_rst) beginif(cpu_rst) beginsta <= 2'b0;endelse beginsta <= nex_sta;endend// TODO: 编写状态机的状态转移逻辑always@(*) begin if(cpu_rst) beginnex_sta = IDLE;endelse begincase(sta) IDLE: begin if(inst_rreq == 1'b1) begin nex_sta = TAG_CHECK;endelse begin nex_sta = IDLE;endendTAG_CHECK:begin if(hit) beginnex_sta = IDLE;endelse begin nex_sta = REFILL;endendREFILL: beginif(mem_rvalid) beginnex_sta = TAG_CHECK;endelse begin nex_sta = REFILL;endenddefault: nex_sta = IDLE;endcaseendend// reg mem_rrdy_n;reg mem_ren_pulse;always @(posedge cpu_clk or posedge cpu_rst) begin if(cpu_rst) begin mem_ren_pulse <= 1'b0;endelse if(sta == REFILL) begin if(mem_rrdy && !mem_ren_pulse) begin mem_ren_pulse <= 1'b1;endend else beginmem_ren_pulse <= 1'b0;end      end // TODO: 生成状态机的输出信号always @(*) beginif(cpu_rst) begin mem_ren = 4'b0;mem_raddr = 32'b0;// mem_rrdy_n <= 1'b1;endelse begin case(sta) IDLE : beginmem_ren = 4'b0;mem_raddr = 32'b0;// mem_rrdy_n <= 1'b1;endTAG_CHECK : begin mem_ren = 4'b0;mem_raddr = 32'b0;// mem_rrdy_n <= 1'b1;endREFILL: beginif(mem_rrdy && !mem_ren_pulse) begin mem_ren = 4'b1111;mem_raddr = {inst_addr[31:4],4'b0000}; // mem_rrdy_n <= 1'b0;endelse begin mem_ren = 4'b0;mem_raddr = 32'b0;end               enddefault: begin mem_ren = 4'b0;mem_raddr = 32'b0;// mem_rrdy_n <= 1'b1;endendcaseendend/******** 不要修改以下代码 ********/
`elselocalparam IDLE  = 2'b00;localparam STAT0 = 2'b01;localparam STAT1 = 2'b11;reg [1:0] state, nstat;always @(posedge cpu_clk or posedge cpu_rst) beginstate <= cpu_rst ? IDLE : nstat;endalways @(*) begincase (state)IDLE:    nstat = inst_rreq ? (mem_rrdy ? STAT1 : STAT0) : IDLE;STAT0:   nstat = mem_rrdy ? STAT1 : STAT0;STAT1:   nstat = mem_rvalid ? IDLE : STAT1;default: nstat = IDLE;endcaseendalways @(posedge cpu_clk or posedge cpu_rst) beginif (cpu_rst) begininst_valid <= 1'b0;mem_ren    <= 4'h0;end else begincase (state)IDLE: begininst_valid <= 1'b0;mem_ren    <= (inst_rreq & mem_rrdy) ? 4'hF : 4'h0;mem_raddr  <= inst_rreq ? inst_addr : 32'h0;endSTAT0: beginmem_ren    <= mem_rrdy ? 4'hF : 4'h0;endSTAT1: beginmem_ren    <= 4'h0;inst_valid <= mem_rvalid ? 1'b1 : 1'b0;inst_out   <= mem_rvalid ? mem_rdata[31:0] : 32'h0;enddefault: begininst_valid <= 1'b0;mem_ren    <= 4'h0;endendcaseendend`endifendmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/bicheng/24228.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

算法:226. 翻转二叉树

给你一棵二叉树的根节点 root &#xff0c;翻转这棵二叉树&#xff0c;并返回其根节点。 示例 1&#xff1a; 输入&#xff1a;root [4,2,7,1,3,6,9] 输出&#xff1a;[4,7,2,9,6,3,1]示例 2&#xff1a; 输入&#xff1a;root [2,1,3] 输出&#xff1a;[2,3,1]示例 3&#x…

服务监控-微服务小白入门(5)

背景 什么是服务监控 监视当前系统应用状态、内存、线程、堆栈、日志等等相关信息&#xff0c;主要目的在服务出现问题或者快要出现问题时能够准确快速地发现以减小影响范围。 为什么要使用服务监控 服务监控在微服务改造过程中的重要性不言而喻&#xff0c;没有强大的监控…

draw.io 如何设置图形圆角?

draw.io 如何设置图形圆角呢&#xff1f; draw.io 是一款强大的&#xff0c;免费的开源工具&#xff0c;我经常用它来画流程图&#xff0c;但是我发现 draw.io 对于图形圆角的设置&#xff0c;只提供了一个设置选项&#xff0c;如下图&#xff1a; 当你选中某个图形&#xff0…

啵啵啵啵啵啵啵啵啵啵啵啵啵啵啵

欢迎关注博主 Mindtechnist 或加入【智能科技社区】一起学习和分享Linux、C、C、Python、Matlab&#xff0c;机器人运动控制、多机器人协作&#xff0c;智能优化算法&#xff0c;滤波估计、多传感器信息融合&#xff0c;机器学习&#xff0c;人工智能等相关领域的知识和技术。关…

通过U盘将第三方软件安装到各大品牌电视的方法

在本教程中&#xff0c;小武给大家整理了通过U盘的方式安装第三方软件到电视盒子上&#xff0c;可直接使用通用U盘的方式来进行安装。 如果您相应电视品牌按通用方式无法完成需求&#xff0c;下面为您也贴心整理了20款主流智能电视和电视盒子的U盘安装指南。这些步骤适用于小米…

GPT-4o:突出优势 和 应用场景

还是大剑师兰特&#xff1a;曾是美国某知名大学计算机专业研究生&#xff0c;现为航空航海领域高级前端工程师&#xff1b;CSDN知名博主&#xff0c;GIS领域优质创作者&#xff0c;深耕openlayers、leaflet、mapbox、cesium&#xff0c;canvas&#xff0c;webgl&#xff0c;ech…

2024世界人工智能大会青年优秀论文TOP20发布

作为 WAIC 2024 针对青年科学家的重要奖项&#xff0c;该奖项关注人工智能基础理论、数学基础、机器学习、计算机视觉与模式识别、自然语言处理等前沿领域。 2024 年 3 月&#xff0c;《关于推荐“2024 世界人工智能大会青年优秀论文奖”参评论文的通知》发布&#xff0c;面向…

【JVM】从编译后的指令集来再次理解++i和i++的执行顺序

JVM为什么要选用基于栈的指令集架构 与基于寄存器的指令集架构相比&#xff0c;基于栈的指令集架构不依赖于硬件&#xff0c;因此可移植性更好&#xff0c;跨平台性更好因为栈结构的特性&#xff0c;永远都是先处理栈顶的第一条指令&#xff0c;因此大部分指令都是零地址指令&…

利用keepalived对zabbix-server做高可用,部署安装keepalived

有2台机器&#xff0c;每台都有1个zabbix-server&#xff0c;然后再每台上再装一个keepalived https://www.keepalived.org/download.html 1&#xff0c;创建安装路径 mkdir /usr/share/keepalived/2&#xff0c;在这个安装路径下面下载keepalived的软件包 我选的版本是1.3…

Redis的事务与关系型数据库事务有何不同?

引言&#xff1a;关于 Redis 的事务很多人可能都是一知半解&#xff0c;大多数人只了解数据库的事务&#xff0c;并且是单体事务&#xff0c;对于 Redis 事务和常见关系型数据库的事务的区别还没有去了解过&#xff0c;本文就来详细进行介绍。 题目 Redis的事务与关系型数据库…

Go微服务: 基于使用场景理解分布式之二阶段提交

概述 二阶段提交&#xff08;Two-Phase Commit&#xff0c;2PC&#xff09;是一种分布式事务协议&#xff0c;用于在分布式系统中确保多个参与者的操作具有原子性即所有参与者要么全部提交事务&#xff0c;要么全部回滚事务&#xff0c;以维持数据的一致性它分为两个阶段进行&…

Towards Graph Contrastive Learning: A Survey and Beyond

目录 Towards Graph Contrastive Learning- A Survey and Beyond摘要IntroductionPRELIMINARY符号说明GNN对比学习下游任务 GCL自监督学习增强策略基于规则随机扰动或mask子图采样图扩散 基于学习图结构学习图对抗训练图合理化 对比模式同尺度对比全局上下文局部 跨尺度对比局部…

Polar Web【中等】写shell

Polar Web【中等】写shell Contents Polar Web【中等】写shell思路&探索EXP运行&总结 思路&探索 初看题目&#xff0c;预测需要对站点写入木马&#xff0c;具体操作需要在过程中逐步实现。 打开站点(见下图)&#xff0c;出现 file_put_contents 函数&#xff0c;其…

代码解读 | Hybrid Transformers for Music Source Separation[03]

一、背景 接着上一篇代码解读 | Hybrid Transformers for Music Source Separation[02]文章&#xff0c;继续对Hybrid Transformer Demucs 代码进行解读。 解读目标&#xff1a;明确数据从进入算法&#xff0c;在算法内部&#xff0c;以及在算法输出 这三个阶段中 数据的大小是…

pyqt 进度条QProgressBar

pyqt 进度条 QProgressBar效果代码 QProgressBar 在 PyQt 应用程序中&#xff0c;进度条&#xff08;通常称为 QProgressBar&#xff09;是一个用于显示任务进度的控件。它可以显示一个水平或垂直的条形图&#xff0c;条形图的长度会随着进度的增加而增加。 QProgressBar 的主…

分布式数据库架构:从单实例到分布式,开发人员需及早掌握?

现在互联网应用已经普及,数据量不断增大。对淘宝、美团、百度等互联网业务来说,传统单实例数据库很难支撑其性能和存储的要求,所以分布式架构得到了很大发展。而开发人员、项目经理,一定要认识到数据库技术正在经历一场较大的变革,及早掌握好分布式架构设计,帮助公司从古…

小米开放式耳机怎么样?倍思、西圣、小米开放式耳机测评比较!

作为一名热衷于分享真实体验的博主&#xff0c;我在过去两年开始接触开放式耳机&#xff0c;并因此受到许多朋友的咨询&#xff0c;询问哪款开放式耳机更加出色。为了找出最佳的开放式耳机&#xff0c;我进行了深入的调查和实地测试。我发现高价并不总是代表高质量&#xff0c;…

C++基础与深度解析 | 类与面向对象编程 | 数据成员 | 成员函数 | 访问限定符与友元 | 构造、析构成员函数 | 字面值类、成员指针与bind交互

文章目录 一、结构体与对象聚合二、成员函数&#xff08;方法&#xff09;三、访问限定符与友元1.访问限定符2.友元&#xff08;慎用&#xff09; 四、构造、析构与复制成员函数1.构造函数2.析构函数3.补充 五、字面值类&#xff0c;成员指针与bind交互1.字面值类2.成员指针3.b…

大小堆运用巧解数据流的中位数

​​​​​​​​​​ 一、思路 我们将所有数据平分成两份&#xff0c;前面那一部分用小堆来存&#xff0c;后面的部分用大堆来存&#xff0c;这样我们就能立刻拿到中间位置的值。 如果是奇数个数字&#xff0c;那么我们就将把中间值放在前面的大堆里&#xff0c;所以会有两种…

Windows取证分析 | 如何最大程度提升分析效率

本文由安全研究人员Amr Ashraf发表于Cyber5w的官方博客&#xff0c;研究人员在本文中讨论了如何对可疑设备中的内存映像进行安全调查&#xff0c;并利用了Volatility 3和MemProcFS来最大程度提升Windows取证分析的工作效率。 介绍 内存取证是任何计算机取证分析人员的必备技能…