今天,我们继续研究一下上一节讨论的问题。其实,还有一个小问题,我们来探讨一下。
`timescale 1ns/10psmodule tb_top();
reg clk;
reg reset;initial begin
reset = 0;
#10 reset = 1;
#15 reset = 0;
#50 $finish;
endinitial beginfor(int i = 0; i < 4 ; i++)fork #2 $display("this is %0d thread!", i);join_nonefork #1 $display("this is main thread!");join_nonefork$display("this is 1 main thread!");join_none#0 $display("this is 2 main thread!");
endinitial begin clk =0; forever #3 clk = ~clk; endendmodule
关键点解释
-
fork-join_none:
-
不会阻塞主线程的执行
-
所有并行线程会立
-