ZYNQ:开发环境搭建

资料下载

http://47.111.11.73/docs/boards/fpga/zdyz_qimxing(V2).html

Vivado软件是什么?

Vivado软件是Xilinx(赛灵思)公司推出的一款集成设计环境(IDE),主要用于FPGA(现场可编程门阵列)的设计和开发。它集成了从设计输入、综合、实现、验证到调试的完整流程,为工程师们提供了一个高效、全面的FPGA设计平台。

Vivado软件的主要特点和功能包括:
高度集成的设计环境:Vivado设计套件建立在共享的可扩展数据模型和通用调试环境基础上,提供了从系统到IC级的工具,有助于根据客户需求量身定制设计流程并符合业界标准。
强大的综合与实现能力:Vivado工具采用了一系列先进的技术,如层次化器件编辑器和布局规划器、业界最好的SystemVerilog逻辑综合工具、确定性更高的布局布线引擎等,能够显著提高设计的集成度和实现速度。
高效的仿真和验证:Vivado自带的仿真器(Vivado Simulator)采用了全新的引擎,仿真速度比传统工具更快,且能够紧密集成于Vivado IDE中,方便用户进行仿真操作和结果分析。
支持多种语言和标准:Vivado支持SystemVerilog、VHDL、Verilog等多种硬件描述语言,以及Synopsys系统约束(SDC)等工具命令语言(TCL),为用户提供了灵活的设计输入方式。
高级综合工具HLS:Vivado设计套件还包含了高级综合工具HLS(High-Level Synthesis),允许开发人员使用C/C++语言对FPGA进行编程,进一步降低了FPGA设计的门槛,提高了设计效率。
功耗和时序分析:Vivado工具能够估算设计流程各个阶段的功耗、时序和占用面积,通过预先分析来优化自动化时钟门等集成功能,帮助用户更好地管理设计资源。
支持旧版项目和工具:Vivado设计套件能够兼容和移植ISE项目浏览器和PlanAhead项目,方便用户从旧版工具迁移到Vivado平台。
https://china.xilinx.com/support/download/index.html/content/xilinx/zh/downloadNav/vivado-design-tools/archive.html
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

Modesim

Modelsim 简介

ModelSim是一款业界广泛使用的HDL(硬件描述语言)仿真软件,由Mentor Graphics公司开发,现属于西门子EDA部门的一部分。它以其强大的仿真功能和灵活性在数字电路设计和验证领域占据重要地位。以下是对ModelSim的详细介绍:

一、主要功能
仿真能力:ModelSim是一款基于事件驱动的数字仿真器,能够使用VHDL或Verilog等硬件描述语言来描述和模拟电路行为。它支持从简单的逻辑门到复杂的SoC(系统级芯片)设计的仿真。
仿真功能:ModelSim提供了丰富的仿真功能,包括单步执行、断点设置、波形查看、变量监视等。这些功能使得设计人员能够在仿真环境中模拟和观察电路的行为,以确保其在各种情况下都能正确运行。
波形编辑器:ModelSim配备了强大的波形编辑器,允许用户查看和分析仿真波形。用户可以对信号值、时间、层次结构等进行详细设置和调整,以便更好地理解电路的工作方式。
调试功能:ModelSim还提供了强大的调试功能,如设置断点、监视变量、跟踪信号路径等,这些功能有助于设计人员快速定位和修复电路设计中的问题。
脚本支持:ModelSim支持使用Tcl(Tool Command Language)脚本语言编写自动化仿真脚本(.do文件),这可以大大提高仿真效率,特别是在处理大型设计或需要多次迭代仿真的情况下。

Modesim 的安装

双击运行“modelsim-win64-2020.4-se”文件

在这里插入图片描述
在这里插入图片描述

Modelsim的注册

通过网盘分享的文件:Medelsim注册文件.7z
链接: https://pan.baidu.com/s/1Y_dMPzlNBTClET0AAtMk9A?pwd=6vxq 提取码: 6vxq

下载并解压文件后,打开文件夹,可以看到下面两个文件,将这两个文件复制
在这里插入图片描述
然后打开Modelsim安装目录下的win64文件夹,复制到win64文件夹下
在这里插入图片描述

找到mgls64.dll这个文件右击该文件并打开属性栏,取消勾选只读属性,点击确定返回目录;
在这里插入图片描述
双击运行patch_dll.bat文件,得到一个用记事本打开的许可证文件LICENSE.TXT;

在这里插入图片描述

保存在Modelsim安装目录下的win64文件夹中;
右击此电脑>>属性>>高级系统设置>>环境变量,新建系统变量:MGLS_LICENSE_FILE,设置其变量值为第五步LICENSE.TXT文件保存的路径:D:\modeltech64_10.4\win64\LICENSE.TXT;

在这里插入图片描述

设置完成后点击确定,Modelsim的注册就完成了;

验证

同时按下Win+R键,输入cmd命令,点击确定进入Windows系统命令窗口
命令行输入vsim,按下Enter键运行
在这里插入图片描述
patch_dll.bat文件,则打开该文件,将文件内的patch_dll改成patch64_dll就可以继续运行哦~
在这里插入图片描述

在这里插入图片描述

Unable to checkout a viewer license necessary for use of the IModelSim
graphical user interface. Vsim is closing.

patch64_dll.bat取消只读
ipconfig /all 找到你网络的物理地址复制
修改patch64_dll.bat文件
在这里插入图片描述

License Issue: Cannot find license file. (C:\flexklm\license.dat)
ailure to license for viewer. Unable to checkout 'msimviewer’license
feature.
在这里插入图片描述
找不到文件 - mgls.dll
找不到文件 - mgls.dll

重新换一个注册工具,解决问题!!!
通过网盘分享的文件:Mentor Graphics ModelSim SE 2020.4 x64.rar
链接: https://pan.baidu.com/s/1GNzxBGRZCbjsT8j2p-hZLA?pwd=7e8j 提取码: 7e8j
在这里插入图片描述

Visio 软件

Visio 简介

起源:Visio最初是由前美国软件公司Visio Corporation于1992年推出,微软于2000年收购了Visio Corporation的资产,因此也继承了Visio应用程序的许可协议。
定位:Visio是一款专业的流程图、示意图、平面图以及数据可视化的工具,被广泛应用于商业、教育、科研等领域。

Visio安装

在启明星 ZYNQ 开发板资料盘(B 盘)→Visio 文件夹,选择好版本,双击就行

Visio 模具添加

新建项目后,将Visio 模具复制项目目录在这里插入图片描述
查看模具是否添加成功
在这里插入图片描述

MindMaster 软件的安装

在启明星 ZYNQ 开发板资料盘(B 盘)→MindMaster 文件夹下找到 MindMaster 的安装包文件,安装步骤安装就好。

MindMaster 简介

MindMaster是一款功能强大、应用广泛的多平台思维导图软件,由A股上市公司万兴科技旗下的亿图软件开发

Notepad++软件

Notepad++软件简介

Notepad++是一款专为程序员和Web开发人员设计的免费开源文本编辑器,基于Scintilla文本编辑控件,并采用了Win32API和STL(标准模板库)来实现。它以其强大的功能和简洁的界面在编程和文本编辑领域广受好评。

Notepad++软件安装

启明星 ZYNQ 开发板资料盘(B 盘)→Notepad++文件夹下找到 Notepad++的安装包文件,安装步骤安装就好

添加插件

HexEditor.dll是Notepad++软件用于以十六进制查看文件的插件;
ComparePlugin.dll是Notepad++软件用于代码对比的软件;
使用方法是将.dll文件拷贝至Notepad++软件安装所在目录plugins文件夹下(.\Notepad++\plugins)
在这里插入图片描述
后打开 Notepad++工具
在这里插入图片描述
点击工具栏的设置→首选项
关联“.v 文件”
为了默认打开.v 文件。我们进行如下关联文件设置:
在这里插入图片描述
备份设置,点击工具栏的设置→首选项
Notepad++工具的备份按照上图设置,不需要进行备份。
在这里插入图片描述
点击工具栏的设置→首选项
将 Tab 键替换为空格的设置,防止 FPGA 加载文件时代码格式混乱
在这里插入图片描述

在这里插入图片描述

学习记录,侵权联系删除
来源:正点原子

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/web/53707.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

零代码构建自己强大的Agent智能体,偷偷甩掉90%的人

转自公众号:渡码 Agent(智能体)的概念大家应该并不陌生了,今天分享通过可视化的方式构建各种各样强大的智能体。 关于Agent的定义,我并不想引用官方正式的说法。而是按照我的理解通俗地解释一下。 大模型好比是面粉…

第166天:应急响应-拒绝服务钓鱼指南DDOS压力测试邮件反制分析应用日志

案例一:内网应急-日志分析-爆破&横向&数据库 数据库 这里不同数据库日志不一样,我用mysql分析 首先MySQL数据库需要支持远程连接 GRANT ALL PRIVILEGES ON . TO root% IDENTIFIED BY 123.com WITH GRANT OPTION; 其次开启日志 -- 查看general…

Flutter为Android添加签名并打包

前言 我们需要将App进行数字签名才能发布到商店里。在这里就具体描述一下如果给App添加签名 为App签名 创建一个用户上传的秘钥库 如果你已经有一个秘钥库了,可以直接跳到下一步,如果没有则按照下面的指令创建一个 keytool 可能不在我们的系统路径中…

MySQL多版本并发控制MVCC实现原理

MVCC MVCC 是多版本并发控制方法,用来解决读和写之间的冲突,比如脏读、不可重复读问题,MVCC主要针对读操作做限制,保证每次读取到的数据都是本次读取之前的已经提交事务所修改的。 概述 当一个事务要对数据库中的数据进行selec…

【初阶数据结构】详解二叉树 - 树和二叉树(三)(递归的魅力时刻)

文章目录 前言1. 二叉树链式结构的意义2. 手搓一棵二叉树3. 二叉树的遍历(重要)3.1 遍历的规则3.2 先序遍历3.3 中序遍历3.4 后序遍历3.5 遍历的代码实现3.5.1 先序遍历代码实现3.5.2 中序遍历代码实现3.5.3 后序遍历代码实现 4. 统计二叉树结点的个数5.…

微服务注册中⼼2

5.Nacos配置管理 Nacos除了可以做注册中⼼,同样可以做配置管理来使⽤ 5.1 统⼀配置管理 当微服务部署的实例越来越多,达到数⼗、数百时,逐个修改微服务配置就会让⼈抓狂,⽽且很容易出错。我们需要⼀种统⼀配置管理⽅案&#xf…

Java.反射

目录 1.获取class 的三种方式 2.利用反射获取构造方法 3.利用反射获取成员变量 4.利用反射获取成员方法 1.获取class 的三种方式 全类名怎么找? 全类名报名+类名 package MyReflect;public class Student {private String id;private String name;private int…

MySQL基础篇(黑马程序员2022-01-18)

1 MySQL数据库概述 1.1 MySQL数据库的下载,安装,启动停止 1.2 数据模型 (1)关系型数据库(RDBMS) 概念:建立在关系模型基础上,由多张相互连接的二维表组成的数据库。 特点: A. 使用表存储数据,格式统一,便于维护。…

计算机前沿技术-人工智能算法-大语言模型-最新研究进展-2024-09-25

计算机前沿技术-人工智能算法-大语言模型-最新研究进展-2024-09-25 1. PromSec: Prompt Optimization for Secure Generation of Functional Source Code with Large Language Models (LLMs) M Nazzal, I Khalil, A Khreishah, NH Phan - arXiv preprint arXiv:2409.12699, 2…

Python--操作列表

1.for循环 1.1 for循环的基本语法 for variable in iterable: # 执行循环体 # 这里可以是任何有效的Python代码块这里的variable是一个变量名,用于在每次循环迭代时临时存储iterable中的下一个元素。 iterable是一个可迭代对象,比如列表(…

URI和URL的区别

1: 将 URI 转换为 URL import java.net.URI; import java.net.URL;public class UriToUrlExample {public static void main(String[] args) {// 创建一个 URI 对象URI uri = new URI("http://example.com/path/to/resource");// 将 URI 转换为 URLtry {URL url = u…

C语言中易混淆概念的关键字

最快的关键字---- register register: 这个关键字请求编译器尽可能的将变量存在 CPU 内部寄存器中而不是通过内 存寻址访问以提高效率。注意是尽可能,不是绝对。你想想,一个 CPU 的寄存器也就那么 几个或几十个,你要是定义了很多很…

变电站设备检测系统源码分享

变电站设备检测检测系统源码分享 [一条龙教学YOLOV8标注好的数据集一键训练_70全套改进创新点发刊_Web前端展示] 1.研究背景与意义 项目参考AAAI Association for the Advancement of Artificial Intelligence 项目来源AACV Association for the Advancement of Computer V…

大模型如何赋能智慧城市新发展?

国家数据局近期发布的《数字中国发展报告(2023)》显示,我国数据要素市场化改革步伐进一步加快,数字经济规模持续壮大,数字技术应用场景不断拓展。这一成就的背后是数字技术广泛应用,数字技术不仅影响着老百…

移动硬盘突然打不开:深度剖析、恢复策略与预防措施

突发困境:移动硬盘的沉默拒绝 在日常的数字生活中,移动硬盘作为数据存储与传输的重要工具,扮演着不可或缺的角色。然而,当您急需访问存储在移动硬盘中的重要文件时,却遭遇了“突然打不开”的尴尬境地,这无…

软件无线电3-微相E316和HackRF实现FM调制解调

前面介绍了基于Matlab、矢量信号器和HackRF One实现射频下的FM调制解调,今天分享的内容是用微相E316替代矢量信号器完成发射工作。注意本文仅用于科研和学习,私自搭建电台属于违法行为。 1.概述 微相E316和HackRF One实现FM调制解调测试框图如1所示&am…

Excel 设置自动换行

背景 版本:office 专业版 11.0 表格内输入长信息,发现默认状态时未自动换行的,找了很久设置按钮,遂总结成经验帖。 操作 1)选中需设置的单元格/区域/行/列。 2)点击【开始】下【对齐方式】中的【自动换…

教授(优青)团队一站式指导:专业实验设计、数据分析、SCI论文辅助。基因表达分析、转录因子、组蛋白、染色质、DNA等高通量检测及基因功能分析

可高通量检测组蛋白不同修饰在基因组上的位点; 可用于模式物种和非模式物种的研究,无需特异性抗体; 完整的DAP-seq解决方案。 DAP-seq可高通量检测转录因子或DNA结合蛋白在基因组上的结合位点; 可用于模式物种和非模式物种的研究,无需特异性抗体; 完整的…

探索C语言与Linux编程:获取当前用户ID与进程ID

探索C语言与Linux编程:获取当前用户ID与进程ID 一、Linux系统概述与用户、进程概念二、C语言与系统调用三、获取当前用户ID四、获取当前进程ID五、综合应用:同时获取用户ID和进程ID六、深入理解与扩展七、结语在操作系统与编程语言的交汇点,Linux作为开源操作系统的典范,为…

计算机网络笔记002

### 课堂讨论对话 **学生A**: 老师,计算机网络的组成是怎样的?🤔 **老师**: 非常好的问题!计算机网络主要由硬件、软件和通信协议三部分组成。我们先从硬件开始讨论吧。 **学生B**: 硬件包括哪些设备呢?&#x1f60…