2023.10.02 win7x64sp1下Navicat_Premium15_x86连接Oracle_10g(安装在win2003x86)

Oracle_10g安装在这个版本的系统里:

Microsoft Windows [版本 5.2.3790]

这个win2003_x86(分配内存1G)安装在vmware虚拟机里.

安装包文件名为:oracle 10g_win32.zip  大小约624 MB (655,025,354 字节)

安装完毕后,tcp1521端口应该开放:

Microsoft Windows [版本 5.2.3790]
(C) 版权所有 1985-2003 Microsoft Corp.C:\Documents and Settings\Administrator>netstat -ano|findstr 1521TCP    192.168.50.145:1071    192.168.50.145:1521    ESTABLISHED     1824TCP    192.168.50.145:1073    192.168.50.145:1521    ESTABLISHED     3632TCP    192.168.50.145:1074    192.168.50.145:1521    ESTABLISHED     3632TCP    192.168.50.145:1075    192.168.50.145:1521    ESTABLISHED     3632TCP    192.168.50.145:1082    192.168.50.145:1521    ESTABLISHED     2144TCP    192.168.50.145:1521    0.0.0.0:0              LISTENING       1848TCP    192.168.50.145:1521    192.168.50.136:50110   ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.136:50111   ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.145:1071    ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.145:1073    ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.145:1074    ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.145:1075    ESTABLISHED     1848TCP    192.168.50.145:1521    192.168.50.145:1082    ESTABLISHED     1848TCP    192.168.50.145:2482    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2485    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2486    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2490    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2491    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2492    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2493    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2494    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2497    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2499    192.168.50.145:1521    TIME_WAIT       0TCP    192.168.50.145:2503    192.168.50.145:1521    TIME_WAIT       0C:\Documents and Settings\Administrator>

接下来在虚拟机win7x64_sp1(分配内存2G)里安装Navicat_Premium15_x86,不能安装x64版,因为oracle的驱动程序(dll)是x86的.我使用的是PE_Study.exe(

PE_Study(PE查看器) v0.95 免费绿色版下载 - 比克尔下载icon-default.png?t=N7T8http://www.bkill.com/download/18602.htmlhttp://11.bkillptdown.balanala.cn:8066/1208/PE_Study.zip)进行查看,发现oci.dll是x86的.

oci.dll我用的是从如下网址下的:

Instant Client for Windows 32-biticon-default.png?t=N7T8http://www.oracle.com/technetwork/topics/winsoft-085727.html

Instant Client for Windows 32-biticon-default.png?t=N7T8https://www.oracle.com/database/technologies/instant-client/microsoft-windows-32-downloads.htmlinstantclient-basic-nt-12.2.0.1.0.zip  

68.5 MB (71,881,824 字节)

接下来还是在虚拟机win7x64_sp1,安装Navicat_Premium15_x86完毕后,我不需要注册(pojie),就用试用版(可以试一试虚拟机快照),

解压:instantclient-basic-nt-12.2.0.1.0.zip 到C:\Program Files (x86)\PremiumSoft\Navicat Premium 15目录下:

"C:\Program Files (x86)\PremiumSoft\Navicat Premium 15\instantclient_12_2\oci.dll"

如果之前有instantclient_12_2这个文件夹,建议给这个旧文件夹改名,以免冲突.

解压instantclient-basic-nt-12.2.0.1.0.zip 完毕后,还需要安装vcredist2013_x86.exe,

最后一步打开Navicat Premium 15,找到工具,选项,环境,OCI环境,指定dll:

"C:\Program Files (x86)\PremiumSoft\Navicat Premium 15\instantclient_12_2\oci.dll"

全程不用设置win7x64和win2003上的环境变量

如下这篇文章无参考价值:Navicat_Premium连接Oracle_10g-CSDN博客首先需要下载instantclient_11_2这个是我在CSDN下载的版本是可以用的,解压下来就可以用了。Navicat_Premium版本是10.0.11是可以使用的。打开工具->选项->OCI 1.选择解压后文件中的 oci.dll 2.选择oracle安装路径下的sqlplus.exe下一步配置环境变量1.右键https://blog.csdn.net/qq_20745827/article/details/49252975

参考资料:

https://www.cnblogs.com/ihappycat/p/14121046.htmlicon-default.png?t=N7T8https://www.cnblogs.com/ihappycat/p/14121046.htmlNavicatPremium连接oracle10g_navicat oracle驱动-CSDN博客最近做一个项目需要从老系统抽取数据,因为平时用到的数据库连接工具是Navicat Premium,所以就尝试着用Navicat Premium连接oracle,具体操作步骤入下1.下载oracle10.2客户端(instantclient_10_2)放入本地文件夹(在oracle官网下载)2.打开Navicat Premium点击,工具—>选项—>环境,配置oracle驱动3.关闭Navicat Premium, 重新打开Navicat Premium,点击 文件.._navicat oracle驱动https://blog.csdn.net/qq_35744706/article/details/124094763

Navicat Premium 15连接不上Oracle 10g解决-CSDN博客①下载网盘分享的文件链接:https://pan.baidu.com/s/1ZOSKwGjS9QpV8404LCj9MQ提取码:g6le②下载好解压文件,并复制到Navicat Premium 15的安装路径下③打开 Navicat Premium 15的 工具—选项—环境—oci环境,把刚才复制到Navicat Premium 15安装路径下的文件的oci.dll导入④重启Navicat Premium 15,然后试着连接oracle 10g,此时成功连接...https://blog.csdn.net/qq_44760706/article/details/112755377

 x64的驱动下载页面,如下:

Oracle Instant Client Downloads | Oracle 中国icon-default.png?t=N7T8https://www.oracle.com/cn/database/technologies/instant-client/downloads.html

Instant Client for Microsoft Windows (x64) 64-bit | Oracle 中国icon-default.png?t=N7T8https://www.oracle.com/cn/database/technologies/instant-client/winx64-64-downloads.html 

instantclient-basic-windows.x64-12.2.0.1.0.zip

https://download.oracle.com/otn/nt/instantclient/122010/instantclient-basic-windows.x64-12.2.0.1.0.zip?AuthParam=1696257878_6341fdba2ddd355c8fef6590863e03da

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/98692.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Matlab参数估计与假设检验(举例解释)

参数估计分为点估计和区间估计,在matlab中可以调用namefit()函数来计算参数的极大似然估计值和置信区间。而数据分析中用得最多的是正态分布参数估计。 例1 从某厂生产的滚珠中抽取10个,测得滚珠的直径(单位:mm)为x[…

Git入门详解

Git入门详解 本文承接上文 Git入门简介 并做了内容扩充。本文讲述Git工具的安装、配置及使用友情参考链接:https://gitee.com/all-about-git 1. Git安装 安装官网:https://git-scm.com/安装过程如下: 双击.exe默认安装即可 2. Git配置 …

云安全之下一代防火墙介绍

防火墙的概念 下一代防火墙(Next Generation Firewall,NGFW)是一种可以全面应对应用层威胁的高性能防火墙。通过深入洞察网络流量中的用户、应用和内容,并借助全新的高性能单路径异构并行处理引擎,NGFW能够为用户提供…

掌握核心技巧就能创建完美的目录!如何在Word中自动创建目录

目录是Word布局的一个重要因素,尤其是在编写较长的文档时。那么,你如何在你的作品中添加目录呢?在这篇文章中,我将分享一些基于Word2016自动创建目录的经验。希望它能或多或少地帮到你。 自动创建目录 1、输入目录文本的名称&am…

【Redis】Redis中的数据结构和内部编码

Redis中的数据结构和内部编码 type命令实际返回的就是当前键的数据结构类型,它们分别是:string(字符串)、list(列表)、hash(哈希)、set(集合)、zset&#xf…

第二证券:华为全液冷超充上线,高压快充概念爆发,双杰电气等涨停

受华为全液冷超充上线消息提振,高压快充概念9日盘中强势拉升,到发稿,双杰电气、永贵电器“20cm”涨停,英可瑞、易事特涨超13%,伊戈尔、协鑫能科、宝馨科技、日丰股份等涨停,万祥科技、星云股份涨近8%。 消…

禁用Chrome自动更新

chrome浏览器会强制用户自动更新,每次点击关于google时,会自动检测更新并下载,非常不好 1. 进入%userprofile%\AppData\Local\Google文件夹 2. 找到其中的Update文件夹,右键属性-安全,将所有组/用户的权限设置为拒绝…

计算机视觉简介(1)

任何计算机视觉处理流程都始于成像系统,它从景物中捕获反射出来的光线,并将光信号转换成计算机可以读取和处理的图像格式 在计算机成像技术发展的早期,图像通过把胶卷或印刷图像素 化后获得;而现在图 像通常直接由数码相机获取&a…

mysql面试题25:数据库自增主键可能会遇到什么问题?应该怎么解决呢?

该文章专注于面试,面试只要回答关键点即可,不需要对框架有非常深入的回答,如果你想应付面试,是足够了,抓住关键点 面试官:数据库自增主键可能会遇到什么问题? 数据库自增主键可能遇到的问题: 冲突问题:自增主键是通过自动递增生成的唯一标识符,但在某些情况下可能会…

xlsx使用table_to_book报错Uncaught Unsupported origin when DIV is not a TABLE

背景:const workbook XLSX.utils.table_to_book(document.querySelector(‘#table-export’),{ raw: true//保留原始字符串 })报错Uncaught Unsupported origin when DIV is not a TABLE 原因:el-table是div格式 过程1:获取深层次的table…

简单聊聊 TCP 协议

简单聊聊 TCP 协议 如何实现可靠传输 ?完全可靠存在比特差错存在丢包流水线可靠数据传输协议回退N步 (GBN)选择重传 (ARQ) 小结 TCPTCP 连接报文段结构序号和确认号 可靠数据传输避免重传超时时间加倍快速重传回退N步还是选择重传 流量控制连接管理拥塞控制拥塞原因拥塞控制方…

Nacos 监控手册

Nacos 0.8.0版本完善了监控系统,支持通过暴露metrics数据接入第三方监控系统监控Nacos运行状态,目前支持prometheus、elastic search和influxdb,下面结合prometheus和grafana如何监控Nacos。与elastic search和influxdb结合可自己查找相关资料…

开发者指南:如何集成一对一直播美颜SDK到你的应用中

本文将为开发者们提供一个详细的指南,教你如何将一对一直播美颜SDK集成到你的应用中,以提供更具吸引力的直播体验。 -为什么选择一对一直播美颜SDK? 在开始之前,让我们先明确一下为什么选择一对一直播美颜SDK是一个明智的决定。…

uni-app:实现页面效果4(echarts数据可视化)

效果 代码 <template><view><view><view class"title">概况</view><view class"line_position"><view class"line1"><view class"item"><view class"one">今日销售…

图像分块及拼接

原图&#xff1a; 分块结果&#xff1a; 拼接结果&#xff1a; 代码&#xff1a; import numpy as np import cv2 as cv import matplotlib.pyplot as pltdef get_patch(img,patch_size):imgs []h,w,n img.shapenew_h, new_w patch_size, patch_sizecolint(w/patch_siz…

Ubuntu 20.04使用源码安装nginx 1.14.0

nginx安装及使用&#xff08;详细版&#xff09;是一篇参考博文。 http://nginx.org/download/可以选择下载源码的版本。 sudo wget http://nginx.org/download/nginx-1.14.0.tar.gz下载源代码。 sudo tar xzf nginx-1.14.0.tar.gz进行解压。 cd nginx-1.14.0进入到源代码…

nginx-proxy反向代理缓存

介绍&#xff1a; 反向代理缓存&#xff0c;类似于动静分离&#xff0c;即通过nginx代理服务器根据客户端发送的url请求&#xff0c;去后台服务器获取数据&#xff0c;将静态数据缓存到nginx代理服务器上&#xff0c;并配置有过期时间&#xff0c;当客户端下次以相同的url请求…

[鹏城杯 2022]简单的php - 无数字字母RCE+取反【*】

[鹏城杯 2022]简单的php 一、解题流程二、思考总结 题目代码&#xff1a; <?php show_source(__FILE__);$code $_GET[code];if(strlen($code) > 80 or preg_match(/[A-Za-z0-9]|\|"||\ |,|\.|-|\||\/|\\|<|>|\$|\?|\^|&|\|/is,$code)){die( Hello);}e…

时序预测 | MATLAB实现ICEEMDAN-IMPA-LSTM时间序列预测

时序预测 | MATLAB实现ICEEMDAN-IMPA-LSTM时间序列预测 目录 时序预测 | MATLAB实现ICEEMDAN-IMPA-LSTM时间序列预测预测效果基本介绍程序设计参考资料 预测效果 基本介绍 ICEEMDAN-IMPA-LSTM功率/风速预测 基于改进的自适应经验模态分解改进海洋捕食者算法长短期记忆网络时间序…

深度学习基础知识 nn.Sequential | nn.ModuleList | nn.ModuleDict

深度学习基础知识 nn.Sequential &#xff5c; nn.ModuleList &#xff5c; nn.ModuleDict 1、nn.Sequential 、 nn.ModuleList 、 nn.ModuleDict 类都继承自 Module 类。2、nn.Sequential、nn.ModuleList 和 nn.ModuleDict语法3、Sequential 、ModuleDict、 ModuleList 的区别…