数字IC/FPGA——锁存器/触发器/寄存器

本文主要介绍以下几点:

  • 什么是触发器和锁存器
  • 门电路和触发器的区别
  • 什么是电平钟控触发器
  • 电平钟控触发器触发器和锁存器的区别
  • 触发器的分类方式:逻辑功能、触发方式、电路结构、存储数据原理、构成触发器的基本器件
  • 寄存器
  • 利用移位寄存器实现串并转换或并串转换

目录

    • 一、触发器的基本概念
    • 二、触发器的分类及原理
      • 1.RS触发器
        • (1)与非门RS触发器
        • (2)或非门RS触发器
      • 2.电平触发钟控触发器
        • (1)钟控RS触发器
      • (2)D触发器
      • (3)钟控JK触发器
        • (4)钟控T触发器
      • 3.边沿触发器
        • (1)基本概念
        • (2)触发器的应用
    • 三、寄存器
      • 1.基本结构
        • (1)电平触发数据寄存器
        • (2)边沿触发数据寄存器
      • 2.移位寄存器
        • (1)基本结构
        • (2)可预置移位寄存器
        • (3)四位通用移位寄存器74LS194
        • (4)利用移位寄存器实现串/并转换
        • (5)利用移位寄存器实现并/串转换

一、触发器的基本概念

触发器(Flip-Flop)是一种具有记忆功能、可以存储二进制信息的双稳态电路,它是组成时序逻辑电路的基本单元,也是最基本的时序电路。

同时也看一下锁存器的概念:

锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值。简单而言,锁存器的输入有数据信号和使能信号,当处于使能状态时,输出随着输入变化而变化,当不处于使能状态时,输入信号怎么变化都不会影响输出

双稳态电路的特点是:在没有外来触发信号的作用下,电路始终处于原来的稳定状态。在外加输入触发信号作用下,双稳态电路从一个稳定状态翻转到另一个稳定状态。由于它具有两个稳定状态,故称为双稳态电路。

组合逻辑电路的基本单元是门电路。时序逻辑电路的基本单元是触发器。门电路某一时刻的输出信号完全取决于该时刻的输入信号,无记忆功能。触发器具有记忆功能,能够在无信号的情况下,保持上一次的信号。

二、触发器的分类及原理

触发器可以按照逻辑功能、触发方式、电路结构、存储数据原理、构成触发器的基本器件进行分类,详细如下:

分类标准触发器类型
逻辑功能RS触发器、D触发器、JK触发器、T/ T’触发器
触发方式电平触发器、边沿触发器和主从(脉冲)触发器
电路结构基本RS触发器(锁存器)和钟控触发器
存储数据原理静态触发器和动态触发器
构成触发器的基本器件双极型触发器和MOS型触发器

1.RS触发器

(1)与非门RS触发器

下图是用两个与非门构成的基本RS触发器,它具有两个互补的输出端Q和Q,一般用Q端的逻辑值来表示触发器的状态。

在这里插入图片描述

RS触发器的特征方程:

{ Q n + 1 = S ˉ + R Q R + S = 1 \left\{\begin{array}{l}Q^{n+1}=\bar{S}+RQ \\ R+S=1\end{array}\right. {Qn+1=Sˉ+RQR+S=1

各种输入情况下的输出:

RS输出
01复位
10置位
11保持
00禁止此输入
(2)或非门RS触发器

在这里插入图片描述

各种输入情况下的输出:

RS输出
01置位
10复位位
00保持
11禁止此输入

2.电平触发钟控触发器

(1)钟控RS触发器

希望触发器在只有在时钟来临的时候才改变其输出状态,其他时候,触发器维持。钟控RS触发器如图所示,通过增加两个与非门构成了高电平触发的钟控触发器。当CP=0时,Rp=Sp=1,触发器处于保持状态﹔只有在CP=1时,触发器的状态才可能发生变化。钟控RS触发器的电路图如下:

在这里插入图片描述

特征方程:

{ Q n + 1 = R + S ˉ Q R S = 0 \left\{\begin{array}{l}Q^{n+1}=R+\bar{S}Q \\ RS=0\end{array}\right. {Qn+1=R+SˉQRS=0

各种输入情况下的输出:

RS输出
01置位
10复位
00保持
11禁止此输入

(2)D触发器

钟控D触发器的逻辑电路和逻辑符号分别如图所示。

在这里插入图片描述

当CP 为1时,R和S,互补,Sp=D,Rp=D。该触发器特征方程为

Q n + 1 = S ˉ D + R D Q = D + D Q = D Q^{n+1}=\bar{S}_D+R_DQ=D+DQ=D Qn+1=SˉD+RDQ=D+DQ=D

该触发器完成输人信号的保存,也称为数据锁存器,输入端D称为数据输入端。

各种输入情况下的输出:

CPD输出
0×Q
100
111

注意,这里的D触发器是电平触发器。在时钟为高时,输出等于输入。

下面是钟控D触发器的Verilog及Vivado综合后的结果:

module D_FF(input clk,input D,output reg Q);always@(clk)
beginQ<=D;
endendmodule

在这里插入图片描述

在这里插入图片描述

观察电路图我们其实可以发现,电平D触发器实际上就是D锁存器(实际上可以推广到所有的电平钟控触发器)。Vivado电路将其当作LATCH,边沿D触发器才是真正意义上的D触发器。因为从钟控D触发器的逻辑结构图我们可以发现,在时钟CP为1时,输出一样可以随着输入变化,这和锁存器是一样的。

(3)钟控JK触发器

钟控JK触发器的逻辑电路和逻辑符号分别如图所示。

在这里插入图片描述

该触发器特征方程为

Q n + 1 = J Q ˉ + K ˉ Q Q^{n+1}=J\bar{Q}+\bar{K}Q Qn+1=JQˉ+KˉQ

该触发器完成输人信号的保存,也称为数据锁存器,输入端D称为数据输入端。

各种输入情况下的输出:

CPJK输出
0××Q
100Q
1010
1101
111~Q

钟控JK触发器可以构建钟控D触发器,如图所示。

在这里插入图片描述

(4)钟控T触发器

将钟控JK触发器的J和K两个输入连接在一起构成钟控T触发器,它的逻辑电路和逻辑符号分别如图所示。

在这里插入图片描述

该触发器特征方程为

Q n + 1 = T 异或 Q Q^{n+1}=T异或Q Qn+1=T异或Q

各种输入情况下的输出:

CPT输出
0×Q
10Q
11~Q

3.边沿触发器

(1)基本概念

在电平触发方式中,当触发电平有效时,当前输出状态作为现态参与触发器的次态计算。当次态出现后,如果触发电平仍有效,那么次态输出作为新一轮的现态再产生下一个新的次态(如T触发器若T在CP为1时一直为1)。电平触发方式可能使状态在约定电平期间发生多次翻转,也称为空翻。为了防止出现空翻现象,采用边沿触发器。边沿触发器仅在约定的电平边沿(上升沿或下降沿)到达时才可能发生状态变化﹔并且次态仅由该边沿变化瞬间的输入和状态决定;在非约定的边沿和电平期间,输人信号的变化不会引起状态的变化。

钟控触发器通常需要外部对其进行复位(输出变为0)或置位(输出变为1),所以实际的触发器通常有复位R和置位Sa,假定复位和置位信号均为低电平有效。图5.16为常用的边沿D触发器的逻辑符号。

在这里插入图片描述

(2)触发器的应用

可以用于设计二分频电路:

在这里插入图片描述

Verilog代码如下:

module FENPIN_2(input clk,input rst_n,output reg Q);always@(posedge clk or negedge rst_n)
beginif(!rst_n)Q<=0;elseQ<=~Q;
endendmodule

波形图如下:

在这里插入图片描述

三、寄存器

1.基本结构

采用多个D触发器来构成一组保存二进制信息的电路称为寄存器。例如要保存n个数据可以采用n个触发条件相同的D触发器,有两种实现方式,一种是通过电平触发还有一种是通过边沿****触发**,其具体结构如下:

在这里插入图片描述

(1)电平触发数据寄存器

以74LS573(八D数据锁存器)举例,下图是其逻辑符号和内部结构:

在这里插入图片描述

其中,G为锁存信号;OE为输出使能﹔D0-D7为数据输入;Q0~Q7为三态数据输出。其只有在使能信号有效(低电平有效)并且锁存信号也同时有效(高电平有效)时才能够将输入数据映射到输出。之后当使能信号无效并且锁存信号为低时,将数据所存下来保持不变。详见下表:

~OEGD0…D7Q0~Q7(次态)
1××z…z
01d0~d7d0~d7
00×Q0~Q7

需要注意的是,当使能信号无效时,三态输出为高阻态。

(2)边沿触发数据寄存器

以74LS574(八D触发器)举例,下图是其逻辑符号和内部结构。

在这里插入图片描述

只有当时钟上升沿到达并且使能信号有效时才会对数据进行更新,否则在使能信号有效的其他时刻对维持原有输出。详见下表:

~OEGD0…D7Q0~Q7(次态)
1××z…z
0d0~d7d0~d7
0非↑×Q0~Q7

2.移位寄存器

(1)基本结构

若干个D触发器级联构成的触发器组成为移位寄存器,能够寄存串行的二进制信息。其结构如下图:

在这里插入图片描述

数据从S输入,每过一个时钟周期接收一位数据保存在D触发器内。

(2)可预置移位寄存器

将D触发器的数据输入端连接一个2选1数据选择器,两路数据为前级寄存器的输出和并行输入的一位数据,在原有功能的基础上还实现了对寄存器输出的置位。下图是其逻辑符号和内部结构:

在这里插入图片描述

当LD=0时,与基本移位寄存器的功能一致;

当LD=1时,寄存器的输出Q(次态)=D;

(3)四位通用移位寄存器74LS194

74LS194是四位通用移存器,具有左移、右移、并行置数、保持、清除等多种功能。其逻辑符号如图所示,其中,D0~D3是并行数据输入端;Cr是低电平有效的异步清零端;SR和SL分别是右移和左移的串行数码输入端;S1和S0工作方式控制端,其组合00 为保持、01为右移、10为左移、11为置数

在这里插入图片描述

其功能表如下:

在这里插入图片描述

注:图中左移时的S1S0标注错误,应为10

主要分为以下几点:

  • Cr为0时,对输出进行复位
  • Cr=1,S1S0=00时保持输出不变;
  • Cr=1,S1S0=11时将输出置数为D0~D3;
  • Cr=1,S1S0=01并且在时钟上升沿到来时进行右移
  • Cr=1,S1S0=10并且在时钟上升沿到来时进行右移
(4)利用移位寄存器实现串/并转换

七位串并转换电路图如下:

在这里插入图片描述

Cr为初始清零信号;CP为移位时钟;d为串行数据输入;Q0~Q6为并行输出;Z为转换结束标志输出。

数据d6d0从数据输入端SR输入(假设d0先输入),并行数据从Q0Q6输出。D0接0作为标志,D1~D7接1。

工作流程如下图:

在这里插入图片描述

在这里插入图片描述

步骤如下:

  • 复位:所有输出为0,Z为1
  • 置数,数据输出为(0111_1111),其中Q7接非门到Z,Z变为0
  • 上升沿到来输入数据开始右移,Q0输出d
  • 一直右移直到之前置数的Q0的0右移到Q7(第8个时钟上升沿),此时Z变为1表示移位结束,而第一个输入数据“a”此时在Q6输出。
  • 置数跳回到第二步

置数一定要有特殊位(只有一个1或一个0),才能有标志表示转换完成。

(5)利用移位寄存器实现并/串转换

七位并转串电路如图所示:

在这里插入图片描述

并行数据d6~d0,从预置端输人,串行数据由F端输出,标志码1在74LS194的D0端。表5.13为七位并入串出的操作过程。

由于标志码在D0,之后的几拍会从Q0一拍一拍传递到Q2,在这个过程中因为或非门的存在Z一直会为0,知道标志位传递到Q7(第八拍)表示并串转换结束。

工作流程如下:

在这里插入图片描述

步骤如下:

  • 复位:所有输出为0,Z为1
  • 置数,数据输出为1abcdefg(对应结构图中从左到右的顺序),其中前7个数据的或非输入到Z,Z变为0
  • 上升沿到来输入数据开始右移,F输出第八个数据口的数据(从左到右)
  • 一直右移直到之前置数的Q0的1右移到第八个数据口(第8个时钟上升沿),此时前期个输出全部变为0,或非后结果为1,Z变为1表示移位结束。
  • 置数跳回到第二步

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/812031.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Android Studio 使用Flutter开发第一个Web页面(进行中)

附上Flutter官方文档 1、新建Flutter项目&#xff08;需要勾选web选项&#xff09; 新建项目构成为&#xff1a; 2、配置 Flutter 使用 path 策略 官方文档 在main.dart中&#xff0c;需要导入flutter_web_plugins/url_strategy.dart包&#xff0c;并在main(){}函数中usePath…

第07-2章 TCP/IP模型

7.7 TCP/IP模型详解 7.7.1 简介 应用层的PDU>APDU&#xff08;Application PDU&#xff09; 表示层的PDU>PPDU&#xff08;Presentation PDU&#xff09; 会话层的PDU>SPDU&#xff08;Session PDU&#xff09; 7.7.2 TCP/IP协议体系 &#xff08;1&#xff09;TCP…

深度学习500问——Chapter07:生成对抗网络(GAN)(2)

文章目录 7.2 GAN的生成能力评价 7.2.1 如何客观评价GAN的生成能力 7.2.2 Inception Score 7.2.3 Mode Score 7.2.5 Wasserstein distance 7.2.6 Frchet Inception Distance (FID) 7.2.7 1-Nearest Neighbor classifier 7.2.8 其他评价方法 7.3 其他常见的生成式模型有哪些 7.…

洛谷题单 -- 图论的简单入门

B3643 图的存储 链接 : 图的存储 - 洛谷 思路 : 这一题要考察图的存储方式 , 一般可以使用邻接矩阵 或 邻接表来存储 图的结点 和1 边的信息 &#xff0c;详情请看代码 : 代码 #include<bits/stdc.h> using namespace std;const int N 1010 ; int n , m ; int …

【Python】实现导入、提交文件并显示其路径的基础GUI界面

The tkinter package (“Tk interface”) 是一个基于Tcl/Tk GUI工具标准的Python接口。集合在大多数操作系统都有Tk和tkinter 库&#xff0c;包括MacOS&#xff0c;Window还有一些Unix类的操作系统 【基础操作】 1 设置窗口 # -*- coding: utf-8 -*- from tkinter import *#创…

ASP.NET MVC企业级程序设计 (EF+MVP实现显示数据)

效果图 实现过程 1创建数据库 2创建项目文件 3创建控制器&#xff0c;右键添加&#xff0c;控制器 注意这里要写Home 创建成功 数据模型创建过程之前作品有具体过程 4创建视图&#xff0c;右键添加视图 5HomeController.cs代码 using System; using System.Collections.Gene…

【数学建模】2024认证杯C题完整思路和代码论文解析

经过不懈的努力&#xff0c;2024认证杯数学建模C题的完整论文和代码已完成&#xff0c;代码为A题全部4问的代码&#xff0c;论文包括摘要、问题重述、问题分析、模型假设、符号说明、模型的建立和求解&#xff08;问题1模型的建立与求解、问题2模型的建立与求解、问题3模型的建…

浏览器工作原理与实践--HTTP/1:HTTP性能优化

谈及浏览器中的网络&#xff0c;就避不开HTTP。我们知道HTTP是浏览器中最重要且使用最多的协议&#xff0c;是浏览器和服务器之间的通信语言&#xff0c;也是互联网的基石。而随着浏览器的发展&#xff0c;HTTP为了能适应新的形式也在持续进化&#xff0c;我认为学习HTTP的最佳…

【LAMMPS学习】八、基础知识(2.6)Lammps中的Walls墙

8. 基础知识 此部分描述了如何使用 LAMMPS 为用户和开发人员执行各种任务。术语表页面还列出了 MD 术语&#xff0c;以及相应 LAMMPS 手册页的链接。 LAMMPS 源代码分发的 examples 目录中包含的示例输入脚本以及示例脚本页面上突出显示的示例输入脚本还展示了如何设置和运行各…

解释器模式:专为语言处理定制的模式

在软件开发中&#xff0c;解释器模式是一种特定的行为型设计模式&#xff0c;它用于定义一种语法&#xff0c;并提供一个解释器来解释这种语法或表达式。这种模式用于专门的情况&#xff0c;当有一个简单的语言需要解释时&#xff0c;它可以被用来表达实例的规则。本文将详细介…

【vue】watchEffect 自动侦听器

watchEffect&#xff1a;自动监听值的变化 获取旧值时&#xff0c;不是很方便&#xff0c;建议用watch <!DOCTYPE html> <html lang"en"><head><meta charset"UTF-8"><meta name"viewport" content"widthdevic…

每日一练(力扣)

我的思路是暴力枚举: 情况1:相同&#xff0c;就让子串和原串同时后移继续比较 情况2:不相同&#xff0c;就只让原串后移 public int strStr(String haystack, String needle) {if (haystack.length() < needle.length()){return -1;}for (int i 0; i < h…

K8S哲学 - 常见的资源类型

资源类型 namespace kubectl apply 和 kubectl create kubectl apply是声明式的 和 kubectl create是命令式的对吗 deployment 和 job的区别 k8s 的 lable 的意义

【YUNBEE云贝-进阶课】MySQL8.0性能优化实战培训

众多已经学习过MySQL 8.0 OCP认证专家的课程的同学们对 MySQL 8.0 的安装部署、体系结构、配置监控、用户管理、主从复制、系统运维、MGR等基础操作和动手实验有了一定的学习基础.很多学员反馈希望更进一步提升技术能力、解决工作中碰到的性能问题。 针对MySQL8.0的数据库性能优…

DMA的认识

DMA介绍 Q:什么是DMA&#xff1f; DMA( Direct Memory Access&#xff0c;直接存储器访问 ) 提供在 外设与内存 、 存储器和存储器 、 外设 与外设 之间的 高速数据传输 使用。它允许不同速度的硬件装置来沟通&#xff0c;而不需要依赖于 CPU &#xff0c;在这个时间中&am…

算法打卡day34

今日任务&#xff1a; 1&#xff09;62.不同路径 2&#xff09;63.不同路径 II 3&#xff09;复习day10 62.不同路径 题目链接&#xff1a;62. 不同路径 - 力扣&#xff08;LeetCode&#xff09; 一个机器人位于一个 m x n 网格的左上角 &#xff08;起始点在下图中标记为 “S…

数据中心的网络架构设计,打造高效、安全的数字底座

数据中心的网络架构设计 一、数据中心网络架构设计原则 网络,作为数据中心的核心支柱,其结构精妙,由众多二层接入设备与少量三层设备共同编织而成。过去,数据中心网络规模有限,仅凭数十台设备的简单互连便能实现信息的畅通无阻。然而,随着技术与应用需求的飞速增长,数据…

Missing artifact org.opencv:opencv:jar:4.10.0 [opencv-4.10.0.jar]

Missing artifact org.opencv:opencv:jar:4.10.0 [opencv-4.10.0.jar] https://mvnrepository.com/artifact/org.opencv/opencv 根本就没有 找了个旧项目的opencv-410.jar修改下opencv-4.10.0.jar放到目录下面就好了 D:\localRepository\org\opencv\opencv\4.10.0 OpenCV-C…

[HDFS 相关Shell命令]

目录 HDFS 相关Shell命令: 相关文件操作命令: HDFS 相关Shell命令: 注意&#xff0c;下述命令中的<path>代表文件或目录的路径&#xff0c;<local_path>代表本地文件系统的路径&#xff0c;而<hdfs_path>代表HDFS上的路径。使用这些命令时&#xff0c;需要…

类的六个默认成员函数(上)

目录 构造函数 析构函数 拷贝构造函数 对于日期&#xff08;Date&#xff09;类&#xff0c;可以通过 Init 公有方法给对象设置日期&#xff0c;但如果每次创建对象时都调用该方法设置信息&#xff0c;未免有点麻烦&#xff0c;那能否在对象创建时&#xff0c;就将信息设置进…