SystemC入门之测试平台编写完整示例:带同步输出的多路选择器

内容:SystemC入门书中的简单测试平台编写示例。

模块文件编写

带锁存输出的4选1多路器模型。输出在信号clock的正跳变沿时刻被锁存。

sync_mux41.h文件

#include <systemc.h>SC_MODULE(sync_mux41)
{sc_in<bool> clock, reset;sc_in<sc_uint<2>> sel;sc_in<sc_uint<4>> inp;sc_out<bool> out;void prc_sync_mux41();SC_CTOR(sync_mux41){SC_METHOD(prc_sync_mux41);sensitive << clock.pos();sensitive << reset.neg();}};void sync_mux41::prc_sync_mux41()
{sc_uint<4> temp_inp;temp_inp =inp.read();if(reset ==0){out =0;}else{if(sel.read()==0) {out = temp_inp[0];}else if(sel.read()==1) {out = temp_inp[1];}else if(sel.read()==2) {out = temp_inp[2];}else {out = temp_inp[3];}}}

测试平台搭建

driver生成所有的可能的输入模式,每隔3ns向多路器施加其中一组输入模式。

sync_mux41_driver.h文件

#include <systemc.h>SC_MODULE(driver)
{sc_out<bool> d_reset;sc_out<sc_uint<2> > d_sel;sc_out<sc_uint<4> > d_inp;void prc_driver();SC_CTOR(driver){SC_THREAD(prc_driver);}
};void driver::prc_driver()
{d_reset =0;wait(7, SC_NS);d_reset =1;for(int i=0; i<=15; i++){d_inp =i;for(int j=0; j<=3; j++){d_sel = j;wait(3, SC_NS);}}
}

只要多路器输入和输出端口的值发生任何改变,模块monitor将打印出所有输入端口和输出端口的值。

sync_mux41_monitor.h文件

#include <systemc.h>SC_MODULE(monitor)
{sc_in<bool> m_clock, m_reset;sc_in<sc_uint <2> > m_sel;sc_in<sc_uint <4> > m_inp;sc_in<bool> m_out;void prc_monitor();SC_CTOR(monitor){SC_METHOD(prc_monitor);sensitive << m_clock << m_reset << m_sel << m_inp << m_out;}};void monitor::prc_monitor()
{cout<<"At time "<<sc_simulation_time()<<"::";cout<<"(clock, reset, sel, inp): ";cout<<m_clock.read()<<","<<m_reset.read()<<","<<m_sel.read()<<","<<m_inp.read();cout<<" out:"<<m_out.read()<<"\n";
}

sc_main生成用vcd格式的仿真波形记录文件,让仿真连续运行100ns。

sync_mux41_main.cpp文件

#include "sync_mux41_driver.h"
#include "sync_mux41_monitor.h"
#include "sync_mux41.h"const int CLOCK_PERIOD =2;int sc_main(int argc, char* argv[])
{sc_signal<bool> t_reset;sc_signal<sc_uint<4> > t_inp;sc_signal<sc_uint<2> > t_sel;sc_signal<bool> t_out;sc_clock t_clock("clock", CLOCK_PERIOD);sync_mux41 m1("SyncMuxer4x1");m1(t_clock, t_reset, t_sel, t_inp, t_out);driver d1("GenerateWaveforms");d1(t_reset, t_sel, t_inp);monitor mo1("MonitorWaveforms");mo1(t_clock, t_reset, t_sel, t_inp, t_out);sc_trace_file *tf =sc_create_vcd_trace_file("sync_mux41");sc_trace(tf, t_clock, "clock");sc_trace(tf, t_reset, "reset");sc_trace(tf, t_inp, "input");sc_trace(tf, t_sel, "select");sc_trace(tf, t_out, "output");sc_start(100, SC_NS);sc_close_vcd_trace_file(tf);return 0;}

测试平台输出:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/796380.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

opencv+python(通道的分离与合并)笔记

分割图像通道&#xff1a; 通过函数mvsplit(img)&#xff1b;mv返回的通道&#xff1b; RGB有3个通道&#xff1b;灰度图只有一个通道&#xff1b; b,g,r cv2.split(img)cv2.imshow("b",b)#通道bcv2.imshow("g",g)#通道gcv2.imshow("r",r)#通道…

c++:局部变量位置和全局变量位置的vector区别

区别&#xff1a;局部变量位置每次都会重置为空&#xff0c;全局不会。但int a[]不管在什么位置都不会重置&#xff08;不进行memset时&#xff09; 代码&#xff1a; 1.局部&#xff1a; #include<bits/stdc.h> using namespace std;int main() {for(int i 0; i <…

微信小程序的页面交互2

一、自定义属性 &#xff08;1&#xff09;定义&#xff1a; 微信小程序中的自定义属性实际上是由data-前缀加上一个自定义属性名组成。 &#xff08;2&#xff09;如何获取自定义属性的值&#xff1f; 用到target或currentTarget对象的dataset属性可以获取数据 &#xff…

c# wpf LiveCharts 简单试验2

1.概要 1.1 说明 1.2 要点 1.2.1 添加命名控件 xmlns:lvc"clr-namespace:LiveCharts.Wpf;assemblyLiveCharts.Wpf" 1.2.2 图片控件 <lvc:CartesianChart Name"chart" LegendLocation"Right"/> 1.3 代码文件引用 using LiveCharts…

LeetCode刷题之31.下一个排列

文章目录 1. 题目2.分析3.解答3.1 先排序&#xff0c;后交换3.2 先交换&#xff0c;后排序 1. 题目 整数数组的一个 排列 就是将其所有成员以序列或线性顺序排列。 例如&#xff0c;arr [1,2,3] &#xff0c;以下这些都可以视作 arr 的排列&#xff1a;[1,2,3]、[1,3,2]、[3…

贪心算法|45.跳跃游戏II

力扣题目链接 class Solution { public:int jump(vector<int>& nums) {if (nums.size() 1) return 0;int curDistance 0; // 当前覆盖最远距离下标int ans 0; // 记录走的最大步数int nextDistance 0; // 下一步覆盖最远距离下标for (int i 0;…

go | gin 重定向路由重定向

web 重定向 重定向有一点要注意&#xff0c;重定向是在客户端那边执行的&#xff0c;一次服务器只能响应一次请求。但是要注意路由重定向 路由重定向是在服务器内部完成重定向资源请求 package mainimport ("github.com/gin-gonic/gin""fmt" )/* func main…

网络安全之命令注入

漏洞原理&#xff1a; 应用系统设计需要给用户提供指定的远程命令操作的接口&#xff0c;比如&#xff1a;路由器&#xff0c;防火墙&#xff0c;入侵检测等设备的web管理界面。一般会给用户提供一个ping操作的web界面 用户从web界面输入目标IP&#xff0c;提交后台会对改IP地…

【ARM 嵌入式 C 常用数据结构系列 25.1 -- linux 双向链表 list_head 使用详细介绍】

请阅读【嵌入式开发学习必备专栏 】 文章目录 内核双向链表双向链表的数据结构初始化双向链表在双向链表中添加元素遍历双向链表链表使用示例注意事项 内核双向链表 在Linux内核中&#xff0c;双向链表是一种广泛使用的数据结构&#xff0c;允许从任意节点高效地进行前向或后向…

树莓派5使用体验

原文地址&#xff1a;树莓派5使用体验 - Pleasure的博客 下面是正文内容&#xff1a; 前言 好久没有关于教程方面的博文了&#xff0c;由于最近打算入门嵌入式系统&#xff0c;所以就去购入了树莓派5开发板 树莓派5是2023年10月23日正式发售的&#xff0c;过去的时间不算太远吧…

Python向带有SSL/TSL认证服务器发送网络请求小实践(附并发http请求实现asyncio+aiohttp)

1. 写在前面 最近工作中遇到这样的一个场景&#xff1a;给客户发送文件的时候&#xff0c;为保证整个过程中&#xff0c;文件不会被篡改&#xff0c;需要在发送文件之间&#xff0c; 对发送的文件进行签名&#xff0c; 而整个签名系统是另外一个团队做的&#xff0c; 提供了一…

基于Whisper的实时语音识别(1): 流式显示视频帧和音频帧

Whistream &#xff08;微流&#xff09;是基于openai-whisper 大语音模型下的流式语音识别工具 本期主要介绍实时显示工具Whishow&#xff0c;可以实时逐帧显示视频流&#xff08;RTSP/RTMP&#xff09;和离线文件&#xff08;mp4,avi等&#xff09; 下载地址&#xff1a;ht…

京东云16核64G云服务器租用优惠价格500元1个月、5168元一年,35M带宽

京东云16核64G云服务器租用优惠价格500元1个月、5168元一年&#xff0c;35M带宽&#xff0c;配置为&#xff1a;16C64G-450G SSD系统盘-35M带宽-8000G月流量 华北-北京&#xff0c;京东云活动页面 yunfuwuqiba.com/go/jd 活动链接打开如下图&#xff1a; 京东云16核64G云服务器…

基于YOLOv8的铁路工人安全作业检测系统

&#x1f4a1;&#x1f4a1;&#x1f4a1;本文摘要&#xff1a;基于YOLOv8的铁路工人安全作业检测系统&#xff0c;属于小目标检测范畴&#xff0c;并阐述了整个数据制作和训练可视化过程&#xff0c; 博主简介 AI小怪兽&#xff0c;YOLO骨灰级玩家&#xff0c;1&#xff0…

图像过曝、低照度下Gamma矫正

由于项目场景的需要&#xff0c;Gamma变换在进行使用过程中可以对于图像的对比度进行调节&#xff0c;对过曝和低照度场景下对图像轮廓进行调节。按照论文里给的理论&#xff0c;加了一行代码实现灰度图像的自适应变换&#xff0c;进行一下记录。 #include <opencv2/opencv…

鸿运(通天星CMSV6车载)主动安全监控云平台inspect_file/upload存在任意文件上传漏洞

声明&#xff1a; 本文仅用于技术交流&#xff0c;请勿用于非法用途 由于传播、利用此文所提供的信息而造成的任何直接或者间接的后果及损失&#xff0c;均由使用者本人负责&#xff0c;文章作者不为此承担任何责任。 简介 鸿运(通天星CMSV6车载)主动安全监控云平台实现对计…

双连通分量算法

1. 连通图概念 连通图&#xff1a;无向图任意两点之间存在通路。 强连通&#xff1a;有向图&#xff08;前提&#xff09;中&#xff0c;任意两点都有至少一条通路&#xff0c;则此图为强连通图。 弱连通图&#xff1a;将有向图的有向边换成无向边得到的图是连通图&#xff0c…

初识二叉树和二叉树的基本操作

目录 一、树 1.什么是树 2. 与树相关的概念 二、二叉树 1.什么是二叉树 2.二叉树特点 3.满二叉树与完全二叉树 4.二叉树性质 相关题目&#xff1a; 5.二叉树的存储 6.二叉树的遍历和基本操作 二叉树的遍历 二叉树的基本操作 一、树 1.什么是树 子树是不相交的;…

计算机网络——37认证

认证 目标&#xff1a;Bob需要Alice证明他的身份 Protocol ap1.0&#xff1a;Alice说"A am Alice" 可能出现的问题&#xff1a; 在网络上Bob看不到Alice&#xff0c;因此Trudy可以简单的声称他是Alice 认证&#xff1a;重新尝试 Protocol ap2.0&#xff1a;Alice…

影院座位选择简易实现(uniapp)

界面展示 主要使用到uniap中的movable-area&#xff0c;和movable-view组件实现。 代码逻辑分析 1、使用movable-area和movea-view组件&#xff0c;用于座位展示 <div class"ui-seat__box"><movable-area class"ui-movableArea"><movab…