【stm32】USART编码部分--详细步骤

USART编码部分(文章最后附上源码)

如果看不懂步骤可以根据源码参考此篇文章就能轻而易举学会USART通信啦!

编码步骤

第一步 开启时钟

把需要用到的USART和GPIO的时钟打开

第二部 GPIO初始化

把TX配置成复用输出,RX配置成输入(上拉输入、浮空输入)。

第三步 配置USART初始化

一个结构体配置所有参数

第四步 发送或接收

只需发送功能
  • 就直接开启USART,初始化就结束了
关于发送数据的类型
  1. 首先写发送一个字节数据的函数 SendByte函数

    1. 调用函数USART_SendData

    2. 等待发送寄存器空标志位

  2. 发送数组SendArray的函数

    1. 函数名形参一个字符指针,长度
    2. 函数体内调用SendByte,一位一位的发送数组数据
  3. 发送字符串 SendString 的函数

    1. 形参为一个字符指针

    2. 函数体内使用for循环或while一位一位的发送字符串的每个字节,直到遇到\0停止

  4. 发送数字SendNumber的函数

    1. 形参一个数字,类型给32位,然后还有一个长度

    2. 在函数里面需要把Number的十位个位百位等,以十进制拆分开,然后转换成字符数字对应的数据,一次发送出去

    3. 比如12345, 取万位就是12345/10000%10得到万位

    4. 需要先写一个次方函数, 形参是一个X,一个y,返回值是X的Y次方,都是32位

    5. 在这里插入图片描述

    6. 回到SendNumber,也是每次发送数据的每一位这个逻辑

    7. 在这里插入图片描述

需要接收功能
  • 首先配置PA10为上拉输入或者浮空输入

  • 接着在串口初始化里配置接收模式

  • 可以使用查询和中断两种方法

  • 如果使用查询,那初始化就结束了

    • 查询的流程是:

    • 在主函数里不断判断RXNE标志位,如果置1了(if成立),就说明收到数据了

    • 再调用ReceiveData,读取DR寄存器,就ok了

    • 最后还有清除标志位的问题,根据参考手册的寄存器描述进行相应的判断,是否需要清除标志位

    • 在这里插入图片描述

  • 如果使用中断,还需要在USART_cmd之前开去中断,配置NVIC,那就在开启USART之前,再加上ITConfig和NVIC的代码就行了

    • 接着写中断函数,在启动文件查找函数名

    • 中断函数里判断接收寄存器非空标志位

接收数据步骤(中断函数建立之后)
  1. 定义一个接收数据的变量和一个接受变量的标志位

    1. 在这里插入图片描述
  2. 建立一个接收数据标志位自动请0的函数,函数里清零标志位,返回1

  3. 建立一个返回数据的函数 GetRxData 的函数,把接收到了数据返回

    1. 上面两部也可以通过把两个变量声明为外部可调用的全局变量
  4. 中断函数里引用接收数据函数,赋给接收数据的变量,置标志位为1,证明接收到了数据

  5. 主函数里判断标志位,如果标志位为1,证明接收到了数据

  6. 可以在判断函数里使用OLED显示串口接收到的数据,然后把这个数据使用串口发送函数再发送到电脑串口助手进行显示

初始化之后

  • 初始化之后,如果要发送数据,调用一个发送函数就行了

  • 如果要接收数据,就调用接收的函数

  • 如果要获取发送和接收的状态,就调用获取标志位的函数

USART 函数介绍

  • USART_ClockInit 和 USART_ClockStrustInit 用来配置同步时钟输出的,包括时钟是不是要输出,时钟的极性相位等参数

  • USART_DMACmd 可以开启USART到DMA的触发通道

  • USART_SendData 发送数据

  • USART_ReceiveData 接收数据

      *发送和接收的时候用*
    

关于子函数

传递字符串

由于字符串自带一个结束标志为,所以就不需要传递长度参数;
for(i=0; String[i] != ‘\0’; i++)

换行:Serial_SendString(“\r\n”)

传递数字

  • 加一个偏移

  • 首先定义一个取数字模的函数Serial_Pow

  • Serial_SendByte(Number / Serial_Pow(10, Length - i - 1) % 10 + 0x30);//0x30可以写成’0’

Printf函数一直方法使用

  1. 使用Printf之前,打开工程选项,勾选Use MicroLIB(是Keil为嵌入式平台优化的一个精简库)

  2. 要用的Printf函数就可以用MicroLIB

  3. 对Printf进行重定向,将Printf打印的东西输出到串口,因为printf函数默认输出到屏幕,单片机没有屏幕,所以要进行重定向。

printf使用步骤

  1. 最开始加上,#include<stdio.h>

  2. 在最后重写fputc函数。 int fputc(int ch, FILE *F) 这是fputc函数的原型

  3. 然后在函数里面把fputc重定向到串口 (Serial_SendByte(ch));

  4. return ch;

  5. 这样printf函数就移植好了

  6. 最后在串口头文件中包含#include<stdio.h>,相当于main函数内也包含stdio.h

fputc 与 Printf的关系

  • 因为fputc是pritf函数的底层

  • pritf函数在打印的时候,就是不断调用fputc函数一个个打印的

  • 我们把fputc函数重定向到了串口,那printf自然就输出到了串口

printf函数在主函数中使用方法

printf(“Num=%d\r\n”,666);

如果多个串口都想用Printf的方法

这时就可以用Spritf

  • Spritf可以把格式化字符输出到,一个字符串里
  1. 先定义一个字符串(主函数里) char string[100]

  2. 然后sprintf第一个参数是打印输出的位置, sprintf(string, “Num=%d\r\n”,666);

  3. 目前这个格式化的字符在String里

  4. 接着Serial_SendString

  • sprintf可以设置打印位置,不涉及重定向

  • 所以每个串口都有可以使用Sprintf进行打印

封装Sprintf

  • 由于printf这类函数比较特殊,它支持可变的参数
  1. 在串口模块里添加头文件 #include <stdarg.h>

  2. 然后在最后对printf函数进行封装 void Serial_Printf(char *format, …) format这个参数用来接收格式化字符串 …三个点用来接收后面的可变参数列表

  3. 在函数里面

    1. 首先定义输出的字符串 char string[100]

    2. va_list arg 定义一个参数列表变量

    3. va_start(arg, format) 从format位置开始接收参数表,放在arg里面

    4. 之后 vsprintf(string, format, arg); 对于这种封装格式要用vsprintf

    5. va_end(arg) 释放参数表

    6. 最后是 Serial_SendString(String) 把String发送出去

    7. 在这里插入图片描述

关于乱码

在这里插入图片描述

Serial.c文件程序//也就是串口的.c文件

#include "stm32f10x.h"                  // Device header
#include <stdio.h>
#include <stdarg.h>uint8_t Serial_RxData;
uint8_t Serial_RxFlag;void Serial_Init(void)
{RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1, ENABLE);RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);GPIO_InitTypeDef GPIO_InitStructure;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init(GPIOA, &GPIO_InitStructure);GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init(GPIOA, &GPIO_InitStructure);USART_InitTypeDef USART_InitStructure;USART_InitStructure.USART_BaudRate = 9600;USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;USART_InitStructure.USART_Mode = USART_Mode_Tx | USART_Mode_Rx;USART_InitStructure.USART_Parity = USART_Parity_No;USART_InitStructure.USART_StopBits = USART_StopBits_1;USART_InitStructure.USART_WordLength = USART_WordLength_8b;USART_Init(USART1, &USART_InitStructure);USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);NVIC_InitTypeDef NVIC_InitStructure;NVIC_InitStructure.NVIC_IRQChannel = USART1_IRQn;NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;NVIC_Init(&NVIC_InitStructure);USART_Cmd(USART1, ENABLE);
}void Serial_SendByte(uint8_t Byte)
{USART_SendData(USART1, Byte);while (USART_GetFlagStatus(USART1, USART_FLAG_TXE) == RESET);
}void Serial_SendArray(uint8_t *Array, uint16_t Length)
{uint16_t i;for (i = 0; i < Length; i ++){Serial_SendByte(Array[i]);}
}void Serial_SendString(char *String)
{uint8_t i;for (i = 0; String[i] != '\0'; i ++){Serial_SendByte(String[i]);}
}uint32_t Serial_Pow(uint32_t X, uint32_t Y)
{uint32_t Result = 1;while (Y --){Result *= X;}return Result;
}void Serial_SendNumber(uint32_t Number, uint8_t Length)
{uint8_t i;for (i = 0; i < Length; i ++){Serial_SendByte(Number / Serial_Pow(10, Length - i - 1) % 10 + '0');}
}int fputc(int ch, FILE *f)
{Serial_SendByte(ch);return ch;
}void Serial_Printf(char *format, ...)
{char String[100];va_list arg;va_start(arg, format);vsprintf(String, format, arg);va_end(arg);Serial_SendString(String);
}uint8_t Serial_GetRxFlag(void)
{if (Serial_RxFlag == 1){Serial_RxFlag = 0;return 1;}return 0;
}uint8_t Serial_GetRxData(void)
{return Serial_RxData;
}void USART1_IRQHandler(void)
{if (USART_GetITStatus(USART1, USART_IT_RXNE) == SET){Serial_RxData = USART_ReceiveData(USART1);Serial_RxFlag = 1;USART_ClearITPendingBit(USART1, USART_IT_RXNE);}
}

Serial.h文件程序//也就是串口的.h文件

#ifndef __SERIAL_H
#define __SERIAL_H#include <stdio.h>void Serial_Init(void);
void Serial_SendByte(uint8_t Byte);
void Serial_SendArray(uint8_t *Array, uint16_t Length);
void Serial_SendString(char *String);
void Serial_SendNumber(uint32_t Number, uint8_t Length);
void Serial_Printf(char *format, ...);uint8_t Serial_GetRxFlag(void);
uint8_t Serial_GetRxData(void);#endif

main.c文件程序

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "OLED.h"
#include "Serial.h"uint8_t RxData;int main(void)
{OLED_Init();OLED_ShowString(1, 1, "RxData:");Serial_Init();while (1){if (Serial_GetRxFlag() == 1){RxData = Serial_GetRxData();Serial_SendByte(RxData);OLED_ShowHexNum(1, 8, RxData, 2);}}
}

感谢各位能坚持看到这里!

如果能有机会得到您的一个小赞那我就更有动力了!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/786717.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

C++ 注册Nacos

下载源码&#xff1a; git clone GitHub - nacos-group/nacos-sdk-cpp: C client for Nacos 编译源码 cd nacos-sdk-cpp cmake . make 生成库文件 在nacos-sdk-cpp 下 注册nacos 将include 和libnacos-cli.so libnacos-cli-static.a 放入你的工程 如果Nacos服务地址:…

代码随想录笔记|C++数据结构与算法学习笔记-栈和队列(〇)|stack、queue、单调队列和优先级队列(priority_queue)、大顶堆和小顶堆

文章目录 stack容器stack 基本概念常用接口构造函数赋值操作数据存取大小操作 queue容器queue常用接口构造函数赋值操作数据存取大小操作 栈和队列的灵魂四问C中stack,queue是容器吗我们使用的stack,queue属于哪个版本的STL我们使用的STL中stack,queue是如何实现的&#xff1f;…

SAP CAP篇十六:写个ERP的会计系统吧,Part III

本文目录 本系列文章目标开发步骤数据库表设计Service 定义生成Fiori App更新CDS Annotation更新Entity: Companies更新Entity&#xff1a;Accounts App运行 本系列文章 SAP CAP篇一: 快速创建一个Service&#xff0c;基于Java的实现 SAP CAP篇二&#xff1a;为Service加上数据…

NLP学习路线总结:从入门到精通

自然语言处理&#xff08;Natural Language Processing&#xff0c;NLP&#xff09;是人工智能领域的重要分支&#xff0c;它致力于使计算机能够理解、解释和生成人类语言。NLP技术的应用范围广泛&#xff0c;涵盖了机器翻译、情感分析、语义理解、信息抽取等诸多领域。对于想要…

每日一题---存在重复元素(1)和(2)

文章目录 一、存在重复数组1,1.题目展示1.2.解题思路1.3.参考代码 二、存在重复元素||2.1.题目展示2.2.解题思路2.3.参考代码 大家学习完了数组&#xff0c;指针等内容可以进行刷题了&#xff0c;刷题不仅可以增加大家的代码量&#xff0c;也可以积累自己的经验&#xff0c;言归…

C语言之指针的指向地址和指针的内容总结(八十九)

简介&#xff1a; CSDN博客专家&#xff0c;专注Android/Linux系统&#xff0c;分享多mic语音方案、音视频、编解码等技术&#xff0c;与大家一起成长&#xff01; 优质专栏&#xff1a;Audio工程师进阶系列【原创干货持续更新中……】&#x1f680; 优质专栏&#xff1a;多媒…

2023年第十四届蓝桥杯 - 省赛 - Python研究生组 - A.工作时长

题目 数据文件&#xff1a;https://labfile.oss.aliyuncs.com/courses/21074/records.txt Idea 直接通过 datetime 模块加载时间字符串进行格式化&#xff0c;然后对时间列表进行排序&#xff0c;最后两两计算时间差。 Code Python from datetime import datetimetime_lis…

目标检测——服饰属性标签识别数据集

一、重要性及意义 首先&#xff0c;随着电商、时尚推荐等业务的发展&#xff0c;服饰属性标签识别已经成为一项关键的计算机视觉任务。这些标签&#xff0c;如颜色、款式、材质等&#xff0c;对于实现图像搜索、时尚推荐等业务需求至关重要。服饰属性标签识别数据集为此类任务…

Excel 数据-分列的三个经常用法

Case 1 &#xff1a;有时候数据导出时如果没有电子表格的话&#xff0c;只能导出本地文件&#xff0c;如下图情况&#xff1a; 可以使用数据-分列处理数据&#xff1a; 原来是因为SAP导出数据没有完成的原因&#xff0c;或者关闭Excel重新打开试一下。 重新打开后可以输入了 C…

python3GUI--不同样式的登录注册界面By:PyQt5(附下载地址)

文章目录 一&#xff0e;前言二&#xff0e;介绍&效果展示界面一1.注册2.登录3.切换效果 界面二1.注册2.登录3.切换效果 界面三1.注册3.登录3.切换效果 界面四1.注册2.登录3.切换效果 界面五1.注册2.登录3.切换效果 界面六1.注册2.普通登录3.快捷登录4.切换效果 界面七1.登…

基于FPGA的HDMI方块移动程序设计

前面写了一篇关于HDMI视频接口的文章《基于FPGA的HDMI视频接口的设计》&#xff0c;该文章对HDMI的相关知识点做了讲解&#xff0c;这里不再重复&#xff0c;本篇文章直接实现一个简单功能-方块的移动。 该系统程序主要实现的功能就是通过串口下发指令控制方块的位置移动&…

Docker基础系列之TLS和CA认证

Docker基础系列之TLS和CA认证 文章目录 Docker基础系列之TLS和CA认证1. 引言2. 初识TLS和CA3. 开启TLS和CA认证3.1 生成证书3.2 配置TLS 4. 参考和感谢 1. 引言 我们日常工作当中会遇到这些需求&#xff1a; 监控Docker容器在idea开发工具中连接Docker&#xff0c;直接发布至…

手搓Docker-Image-Creator(DIC)工具(03):实现alpine+jre的镜像

此篇博客将介绍如何使用 Docker 创建一个alpine3.10-jre1.8.0_401 的 Docker 镜像&#xff0c;并使用 Docker 运行起来。将用到 Dockerfile 的 COPY 命令、RUN 命令、ENV 命令&#xff0c;最终实现基于单一应用的 Dockerfile 构建镜像和运行。 紧急修改&#xff1a;代码我是在m…

【机器学习300问】60、图像分类任务中,训练数据不足会带来什么问题?如何缓解图像数据不足带来的问题?

在机器学习中&#xff0c;绝大部分模型都需要大量的数据进行训练和学习&#xff08;包括有监督学习和无监督学习&#xff09;&#xff0c;然而在实际应用中经常会遇到训练数据不足的问题。就比如图像分类这样的计算机视觉任务&#xff0c;确实依赖于大规模且多样化的训练数据以…

云数据中心传输的出路

研发端到端协议不是出路&#xff0c;研发更智能调度流量的交换机不是出路&#xff0c;将流量按长短突发模式分流到不同链路(逻辑的或物理的)才是出路。所有高速传输的前提是标准化&#xff0c;统一简单的操作。多么简单的领悟。 数据中心网络具有范围小&#xff0c;带宽大&…

FFmpeg 详解

FFmpeg 详解 FFmpeg 详解整体结构不同下载版本的区别常用库常用函数初始化封装格式解码器 版本对比组件注册方式对比FFmpeg 3.x 组件注册方式FFmpeg 4.x 组件注册方式 结构体比对函数对比avcodec_decode_video2()vcodec_encode_video2() 数据结构结构体分析AVFormatContextAVIn…

什么是原生IP?原生IP的作用是什么?

原生IP&#xff08;Native IP&#xff09;是指直接从互联网服务提供商&#xff08;ISP&#xff09;获得的IP地址&#xff0c;而非通过代理服务器、VPN或其他中间层方式获取。这种IP地址直接与用户的设备或网络关联&#xff0c;无需经过任何中间服务器或代理的转发或隐藏&#x…

[Java基础揉碎]枚举

目录 先看一个需求 枚举介绍: 枚举实现的方式: >自定义类实现枚举实例: >使用enum关键字实现枚举 ​编辑 enum关键字实现枚举注意事项 enum常用方法 enum细节 先看一个需求 要求创建季节(Season)对象&#xff0c;请设计并完成。 // 传统的方法建造一个类: clas…

5.vector容器的使用

文章目录 vector容器1.构造函数代码工程运行结果 2.赋值代码工程运行结果 3.容量和大小代码工程运行结果 4.插入和删除代码工程运行结果 5.数据存取工程代码运行结果 6.互换容器代码工程运行结果 7.预留空间代码工程运行结果 vector容器 1.构造函数 /*1.默认构造-无参构造*/ …

第十三届蓝桥杯JavaA组省赛真题 - 求和

解题思路&#xff1a; 这&#xff0c;真的是&#xff0c;省赛真题吗... public class Main {public static void main(String[] args) {long res 0;for (int i 1; i < 20230408; i) {res i;}System.out.print(res);} }