普中 51 单片机点亮LED灯

普中 51 单片机 (STC89C52RC) LED / IO

将LED1进行闪烁操作

在这里插入图片描述

为啥要进行延时操作?依据人的肉眼余晖效应, 延时时间不能太短,否则就无法观察到 LED 闪烁

#include "reg52.h"
typedef unsigned int u16; //对系统的默认数据类型进行从命名sbit LED1 = P2^0;void delay_10us(u16 ten_us){//延时函数 当参数为ten_us =1时大概延时为10uswhile(ten_us--);}void main(){//实现LED1的闪烁功能while(1){LED1 = 0;//亮灯,低电平点亮delay_10us(10000);//延时100msLED1 = 1;//熄灭delay_10us(10000);//延时100ms}}

在这里插入图片描述
code:表示程序所占用 FLASH 的大小。 data:数据储存器内部 RAM 占用大小。 xdata:数据储存器外部 RAM占用大小

流水灯实验

通过位运算符for循环实现流水灯效果

#include "reg52.h"typedef unsigned char u8;//对系统的默认数据类型进行从命名
typedef unsigned int u16;//对系统的默认数据类型进行从命名void delay_10us(u16 ten_us){//延时函数 当参数为ten_us =1时大概延时为10uswhile(ten_us--);
}
void main(){//实现流水灯效果u8 i;while(1){for(i = 0;i<7;i++){P2 =~(0x01<<i);//通过控制P2管脚实现流水灯效果delay_10us(50000);}}}
#include "reg52.h"
#define LED_PORT P2 //使用宏定义P2端口
typedef unsigned char u8;//对系统的默认数据类型进行从命名
typedef unsigned int u16;//对系统的默认数据类型进行从命名void delay_10us(u16 ten_us){//延时函数 当参数为ten_us =1时大概延时为10uswhile(ten_us--);
}
void main(){//实现流水灯效果u8 i;while(1){for(i = 0;i<7;i++){LED_PORT =~(0x01<<i);//通过控制P2管脚实现流水灯效果delay_10us(50000);}}}

通过内置函数实现流水灯的效果

_crol_()函数是C语言中的一个字符串操作函数,用于循环左移字符串。

该函数的作用是将指定长度的字符从字符串的左侧开始移动到右侧,并将右侧的空位填充为指定的字符。它的原型如下:

char * crol ( char * str, int n );

参数说明:

  • str:指向要进行循环左移操作的字符串的指针。
  • n:表示要移动的字符数。正数表示向右移动,负数表示向左移动。

返回值:

  • 函数返回指向循环左移后字符串的指针。

右移函数是_cror_()同上原理

#include "reg52.h"
#include "intrins.h"
#define LED_PORT P2 //使用宏定义P2端口typedef unsigned char u8;//对系统的默认数据类型进行从命名
typedef unsigned int u16;//对系统的默认数据类型进行从命名void delay_10us(u16 ten_us){//延时函数 当参数为ten_us =1时大概延时为10uswhile(ten_us--);
}
void main(){//实现流水灯效果u8 i;while(1){for(i = 0;i<7;i++){LED_PORT =~(_crol_(0x01,i));//通过_crol_函数进行左移控制P2管脚实现流水灯效果delay_10us(50000);}for(i = 0;i<7;i++){LED_PORT =~(_cror_(0x80,i));//通过_cror_函数进行右移控制P2管脚实现流水灯效果delay_10us(50000);}}}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/76088.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

React中父子组件参数传递讲解

文章目录 结合案例&#xff1a;github搜索案例1.父容器代码2.搜索Search子模块代码3.展示Lisi子模块代码 父子参数传递分析1.子(Search)传父(App)2.父(App)传子(List) 结合案例&#xff1a;github搜索案例 案例结果展示如下图 1.父容器代码 import React, { Component } fr…

【GO语言基础】前言

系列文章目录 【Go语言学习】ide安装与配置 【GO语言基础】前言 【GO语言基础】变量常量 【GO语言基础】数据类型 文章目录 系列文章目录一、基础知识包和函数函数声明语法简洁性 括号成对出现GO常用DOS命令命名规则项目目录结构注释 总结 一、基础知识 包和函数 //声明本代…

Python中使用item()方法遍历字典的例子

前言 嗨喽&#xff0c;大家好呀~这里是爱看美女的茜茜呐 这篇文章主要介绍了Python中使用item()方法遍历字典的例子, for…in这种是Python中最常用的遍历字典的方法了,需要的朋友可以参考下 Python字典的遍历方法有好几种&#xff0c;其中一种是for…in&#xff0c;这个我就…

记录docker 部署nessus

1、开启容器 docker run -itd --nameramisec_nessus -p 8834:8834 ramisec/nessus 2、登录 &#xff1a;注意是https https://ip8843 3、修改admin密码 #进入容器 docker exec -it ramisec_nessus /bin/bash#列出用户名 /opt/nessus/sbin/nessuscli lsuser#修改密码&a…

sqlserver union和union all 的区别

1.首先在数据库编辑1-40数字&#xff1b; 2.查询Num<30的数据&#xff0c;查询Num>20 and Num<40的数据&#xff0c;使用union all合并&#xff1b; 发现30-20的数字重复了&#xff0c;可见union all 不去重&#xff1b; 3.查询Num<30的数据&#xff0c;查询Num…

Promise 解决 Vue 中父子组件的加载问题!

前言 关于Promie我这里就不多解释了&#xff0c;不懂得可以看看官方文档。下面文章重点介绍项目中遇到的问题解决方法。 需求 组件b初始化某个用到的库&#xff0c;只有在初始化完成后才能调用其API&#xff0c;不然会报错。a页面负责调用。 // a.vue <template><d…

动态表单设计

动态表单设计 背景方案讨论基于上面分析&#xff0c;对比调研&#xff0c;自定义动态表单数据模型表单详解&#xff08;一&#xff09; 表单模板&#xff1a;jim_dynamic_form&#xff08;二&#xff09;表单数据类型&#xff1a;jim_form_data_type&#xff08;三&#xff09;…

【Linux】编辑器 vim

1、vim的基本概念 vi/vim【一款文本编辑器】vim【一款多模式编辑器】vi/vim 的区别简单点来说&#xff0c;它们都是多模式编辑器&#xff0c;不同的是 vim 是 vi 的升级版本&#xff0c;它不仅兼容vi的所有指令&#xff0c;而且还有一些新的特性在里面。例如语法加亮&#xff0…

分享一个python实验室设备预约管理系统 实验室设备维修系统源码 lw 调试

&#x1f495;&#x1f495;作者&#xff1a;计算机源码社 &#x1f495;&#x1f495;个人简介&#xff1a;本人七年开发经验&#xff0c;擅长Java、Python、PHP、.NET、微信小程序、爬虫、大数据等&#xff0c;大家有这一块的问题可以一起交流&#xff01; &#x1f495;&…

DAY08_MyBatisPlus——入门案例标准数据层开发CRUD-Lombok-分页功能DQL编程控制DML编程控制乐观锁快速开发-代码生成器

目录 一 MyBatisPlus简介1. 入门案例问题导入1.1 SpringBoot整合MyBatisPlus入门程序①&#xff1a;创建新模块&#xff0c;选择Spring初始化&#xff0c;并配置模块相关基础信息②&#xff1a;选择当前模块需要使用的技术集&#xff08;仅保留JDBC&#xff09;③&#xff1a;手…

《VulnHub》DarkHole:1

VulnHub 1&#xff1a;靶场信息2&#xff1a;打靶2.1&#xff1a;情报收集&威胁建模2.2&#xff1a;漏洞分析&渗透攻击 3&#xff1a;总结3.1&#xff1a;命令&工具3.1.1&#xff1a;Nmap 3.2&#xff1a;关键技术 VulnHub 打靶记录。官网&#xff1a;https://www.…

Android -BLE 蓝牙模块开发

Android-Ble蓝牙开发Demo示例–扫描,连接,发送和接收数据,分包解包(附源码) - 简书前言 万物互联的物联网时代的已经来临&#xff0c;ble蓝牙开发在其中扮演着举重若轻的角色。最近刚好闲一点&#xff0c;抽时间梳理下这块的知识点。 涉及ble蓝牙通讯的客户端(开启、扫描、连接…

Web framework-Gin(二)

目录 一、Gin 1、Ajax 2、文件上传 2.1、form表单中文件上传(单个文件) 2.2、form表单中文件上传(多个文件) 2.3、ajax上传单个文件 2.4、ajax上传多个文件 3、模板语法 4、数据绑定 5、路由组 6、中间件 一、Gin 1、Ajax AJAX 即“Asynchronous Javascript And XM…

时序分解 | MATLAB实现ICEEMDAN+SE改进的自适应经验模态分解+样本熵重构分量

时序分解 | MATLAB实现ICEEMDANSE改进的自适应经验模态分解样本熵重构分量 目录 时序分解 | MATLAB实现ICEEMDANSE改进的自适应经验模态分解样本熵重构分量效果一览基本介绍程序设计参考资料 效果一览 基本介绍 ICEEMDANSE改进的自适应经验模态分解样本熵重构分量 包括频谱图 避…

网络协议百科全书:28张图带你搞懂TCP

大家好&#xff0c;我的网工朋友。 不仅仅是网工&#xff0c;只要你是做IT相关的工作&#xff0c;肯定都离不开网络。 那网络中最重要的协议&#xff0c;大概非TCP莫属&#xff0c;谁去面试没被问过网络协议的相关问题&#xff1f; 谁没买过那本最经典的《TCP/IP详解》 。 …

可靠又稳定些的微信管理系统

微信管理系统 --- 简单点说就是&#xff1a;微信的管理和营销系统。再通俗一些就是&#xff1a;利用微信与管理营销相结合的一种新型的办公方式。 不用下载任何软件&#xff0c;不需要多部手机&#xff0c;对手机型号没有任何要求&#xff0c;不需要刷机等等&#xff0c;并且稳…

案例:实现TodoLis(尚硅谷)

是做完后再记录的&#xff0c;前端我主要是要了解下&#xff0c;所以这里记录的比较浅 由于是做完后记录&#xff0c;所以你cv后的代码的效果并不一定和我展示的效果图一样 静态页面 先来实现静态页面&#xff0c;再考虑动态页面 组件拆分 说明(实际的组件名不是和下面一样的…

手写Mybatis:第15章-返回Insert操作自增索引值

文章目录 一、目标&#xff1a;Insert自增索引值二、设计&#xff1a;Insert自增索引值三、实现&#xff1a;Insert自增索引值3.1 工程结构3.2 Insert自增索引值类图3.3 修改执行器3.3.1 修改执行器接口3.3.2 抽象执行器基类 3.4 键值生成器3.4.1 键值生成器接口3.4.2 不用键值…

RK3399平台开发系列讲解(内核调试篇)spidev_test工具使用

🚀返回专栏总目录 文章目录 一、环境二、执行测试三、回环测试四、字节发送测试五、32位数据发送测试沉淀、分享、成长,让自己和他人都能有所收获!😄 📢 在 Linux 系统上,“spidev_test” 是一个用于测试和配置 SPI(Serial Peripheral Interface)设备的命令行工具。…

[管理与领导-85]:IT基层管理者 - 核心技能 - 高效执行力 - 10 - 高效执行力的9个段位

目录 前言&#xff1a; 一段&#xff1a;准确执行&#xff0c;快速反应&#xff0c;坚决执行 &#xff08;态度很重要&#xff09; 二段&#xff1a;结果导向 苦劳过后&#xff0c;有功劳&#xff08;有结果很重要&#xff09; 三段&#xff1a;有始有终 主动反馈、有始有终…