数字逻辑-时序逻辑电路二——沐雨先生

一、实验目的

(1)熟悉计数器的逻辑功能及特性。

(2)掌握计数器的应用。

(3)掌握时序逻辑电路的分析和设计方法。

二、实验仪器及材料

在这里插入图片描述

三、实验原理

1、集成4位计数器74LS161(74LS160)简介

74LS161是4位二进制计数器, 74LS160是十进制计数器。74LS161和 74LS160芯片引脚排列相同。
在这里插入图片描述

(1)异步清零功能

当CLR=0时,无论其他输入端状态如何(包括时钟信号CLK),4位输出~全为零。

(2)同步并行预置数功能

当CLR=1、LOAD=0且有时钟脉冲CLK的上升沿作用时,DCBA输入端的数据将分别被~接收。

(3)保持功能

当CLR=LOAD=1且ENP=0、ENT=1时,计数器将保持原有状态不变(停止计数);
当CLR=LOAD=1且ENT=0时,计数器将保持原有状态不变(停止计数),但这时进位输出C=0。

(4)同步计数功能

当CLR=LOAD=ENP=ENT=1时,计数器处于计数状态。
从0000状态开始,对74LS161连续输入16个脉冲时,电路将从1111状态返回0000状态,进位输出PCO从高电平跳变到低电平。
从0000状态开始,对74LS160连续输入10个脉冲时,电路将从1001状态返回0000状态,进位输出PCO从高电平跳变到低电平。
在这里插入图片描述

2、用集成计数器设计N进制计数器的方法

若有M进制计数器,要构成N进制计数器,有两种情况:

(1)M>N,从M个状态中任选N个状态构成N 进制计数器。

在这里插入图片描述

(2)M<N,采用多片M进制计数器,构成M’计数器,使M’>N

3、设计举例:试用74LS161和适当的门电路构成7进制计数器。

(1)分析:74LS161为4位二进制计数器,M=16,N=7,M>N,因此可以使用反馈清零法或反馈置数法进行设计。

(2)状态转换图:

在这里插入图片描述

(3)反馈清零法:

在这里插入图片描述

(4)反馈置数法:

在这里插入图片描述

4、74LS151简介

74LS151为互补输出的8选1数据选择器,引脚排列和功能表如图所示。
在这里插入图片描述

四、实验内容及步骤

1、用集成4位二进制计数器(74LS161)组成十进制计数器

(1)画出状态转换图

在这里插入图片描述

(2)选择具体设计方案(清零法或置数法)

反馈清零法
令 ENP=ENT=1,
LOAD=1,
CLR=S10’=(QDQC’QBQA’)’
作图如下图所示:

(3)画出电路图

在这里插入图片描述

(4)数据测试,用逻辑分析仪观察并记录CLK、 Q A Q_A QA Q B Q_B QB Q C Q_C QC Q D 、 Q_D、 QDPCO的时序图

用标尺截取N个时钟,观察每个时钟对应的状态,如果状态是按照N进制加法计数器的状态转换图变化,则设计正确,记录时序图。
在这里插入图片描述

2、序列信号发生器

在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号。通常将这种串行数字信号称为序列信号。产生序列信号的电路称为序列信号发生器。

(1)右图所示为一个序列信号发生器电路。图中芯片使用74LS160同步计数器。

①在CLK端加时钟信号,使用逻辑分析仪观察芯片CLK、 Q A Q_A QA Q B Q_B QB Q C Q_C QC Q D Q_D QD、Y的状态变化,并记录时序图。
在这里插入图片描述
在这里插入图片描述
②通过观察时序图,说明电路在CLK的作用下Y端能输出什么样的脉冲序列?
Y= 0011010101

(2)若希望输出端能周期性的输出=1001001110的脉冲序列,则电路应该怎样改接?试实验之。

分析:序列信号发生器的构成方法有多种。一种比较简单、直观的方法是用计数器和数据选择器构成。观察的数据可知,序列位数为10,计数器仍然选择十进制计数器74LS160,再搭配一个8选1数据选择器(可选择74LS151)即可完成改接。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/745545.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

自动控制原理--matlab/simulink建模与仿真

第一讲 自动控制引论 第二讲 线性系统的数学模型 第三讲 控制系统的复域数学模型(传递函数) 第四讲 控制系统的方框图 /video/BV1L7411a7uL/?p35&spm_id_frompageDriver pandas, csv数据处理 numpy&#xff0c;多维数组的处理 Tensor&#xff0c;PyTorch张量 工作原理图…

数据仓库为什么要分层建设?每一层的作用是什么?

在数字化时代&#xff0c;数据已成为企业最宝贵的资产之一。为了更好地管理和利用这些数据&#xff0c;许多企业都建立了数据仓库。然而&#xff0c;数据仓库并非简单的数据存储工具&#xff0c;而是一个复杂的数据处理和分析系统。其中&#xff0c;分层建设是数据仓库设计的重…

稀碎从零算法笔记Day17-LeetCode:有效的括号

题型&#xff1a;栈 链接&#xff1a;20. 有效的括号 - 力扣&#xff08;LeetCode&#xff09; 来源&#xff1a;LeetCode 题目描述&#xff08;红字为笔者添加&#xff09; 给定一个只包括 (&#xff0c;)&#xff0c;{&#xff0c;}&#xff0c;[&#xff0c;] 的字符串 …

SQLiteC/C++接口详细介绍之sqlite3类(六)

快速前往文章列表&#xff1a;SQLite—系列文章目录 上一篇&#xff1a;SQLiteC/C接口详细介绍之sqlite3类&#xff08;五&#xff09; 下一篇&#xff1a;SQLiteC/C接口详细介绍之sqlite3类&#xff08;七&#xff09; 19. sqlite3_changes与sqlite3_changes64 是SQLite中用…

【MyBatis-Plus】逻辑删除、乐观锁、防全表更新和删除实现 MyBatisX插件 高级扩展

文章目录 一、逻辑删除实现二、乐观锁实现2.1 悲观锁和乐观锁场景和介绍2.2 具体技术和方案:2.3 版本号乐观锁技术的实现流程2.4 使用mybatis-plus数据使用乐观锁 三、防全表更新和删除实现三、代码生成器(MyBatisX插件) 一、逻辑删除实现 物理删除&#xff1a;真实删除&#…

Flink on Yarn安装配置

前言 Apache Flink&#xff0c;作为一个开源的分布式处理引擎&#xff0c;近年来在大数据处理领域崭露头角&#xff0c;其独特的流处理和批处理一体化模型&#xff0c;使得它能够在处理无界和有界数据流时展现出卓越的性能。本文旨在对Flink进行简要的前言性介绍&#xff0c;以…

Oracle登录错误ERROR: ORA-01031: insufficient privileges解决办法

这个问题困扰了我三个星期&#xff0c;我在网上找的解决办法&#xff1a; 1.控制面板->管理工具->计算机管理->系统工具->本地用户和组->ORA_DBA组。 但我电脑上根本找不到。 2.在oracle安装目录下找到oradba.exe运行。 最开始我都不到这个oradba.exe文件在哪…

云计算 3月11号 (NFS远程共享存储及vsftpd配置)

构建NFS远程共享存储 一、NFS介绍 文件系统级别共享&#xff08;是NAS存储&#xff09; --------- 已经做好了格式化&#xff0c;可以直接用。 速度慢比如&#xff1a;nfs&#xff0c;sambaNFS NFS&#xff1a;Network File System 网络文件系统&#xff0c;NFS 和其他文件…

(008)Unity StateMachineBehaviour的坑

文章目录 StateMachineBehaviour同名函数的调用问题StateMachineBehaviour 的 OnState*、OnStateMachine* 的区别 StateMachineBehaviour同名函数的调用问题 1.如果脚本中&#xff0c;两个同名的函数都存在&#xff0c;那么两个函数都会被调用&#xff1b;如果只有其中一个同名…

Maven3.6.3安装与配置,IDEA2022.2.3部署Maven操作步骤

1、先确保安装了Java开发环境&#xff0c;并配置了JAVA_HOME环境变量 WinR ,输入cmd,然后输入命令echo %JAVA_HOME%,查看JDK &#xff0c;接着输入java -version,查看java的版本号 接着系统变量中&#xff0c;配置JAVA_HOME环境变量 2、官网下载MAVEN3.6.3&#xff0c;Maven3.…

3.14

racial a. 种族的 radiation n. 放射&#xff0c;放射物 railway n. 铁路&#xff1b;铁道 rainbow n. 虹&#xff0c;彩虹 raise vt. 使升高; 饲养 random a. 随意的 rank n. 职衔&#xff0c;军衔 rare a. 罕见的&#xff0c;稀有的 rat n. 老鼠 rate n./ v. 率&#xff0c;评…

<JavaEE> 了解网络层协议 -- IP协议

目录 初识IP协议 什么是IP协议&#xff1f; IP协议中的基础概念 IP协议格式 图示 4bit版本号&#xff08;version&#xff09; 4bit头部长度&#xff08;headerlength&#xff09; 8bit服务类型&#xff08;TypeOfService&#xff09; 16bit总长度&#xff08;total l…

数据结构——循环链表,双向链表,线性表和有序表的合并详解

目录 1.循环链表 1.带尾指针循环链表的合并 代码示例&#xff1a; 2.双向链表 代码示例&#xff1a; 1.双向链表的插入 ​代码示例&#xff1a; 2.双向链表的删除 代码示例&#xff1a; 3.单链表&#xff0c;循环链表&#xff0c;双向链表时间效率的比较 4.顺序表和链…

JVM基础篇

什么是JVM java虚拟机 JVM的功能 1.解释和运行 对字节码文件中的指令&#xff0c;实时的解释成机器码&#xff0c;让计算机执行 2.内存管理 自动为对象、方法等分配内存 自动的垃圾回收机制&#xff0c;回收不再使用的对象&#xff08;c不会自动回收&#xff0c;相当于降…

腾讯云轻量服务器地域选择教程以及不同地域的区别

腾讯云服务器地域怎么选择&#xff1f;不同地域之间有什么区别&#xff1f;腾讯云哪个地域好&#xff1f;地域选择遵循就近原则&#xff0c;访客距离地域越近网络延迟越低&#xff0c;速度越快。腾讯云百科txybk.com告诉大家关于地域的选择还有很多因素&#xff0c;地域节点选择…

net/http 框架源码解读

一、Hello World 使用net/http编写一个简单的web服务器, 定义了一个UserHandler的处理函数&#xff0c;通过HandleFunc来将路由和handler进行绑定&#xff0c;最后通过ListenAndServe启动web服务&#xff0c;后面我将handler统称为视图函数 package mainimport "net/htt…

PGA高端项目:FPGA基于GS2971+GS2972架构的SDI视频收发,提供3套工程源码和技术支持

目录 1、前言免责声明 2、相关方案推荐本博已有的 SDI 编解码方案本方案的SDI接收图像缩放应用本方案的SDI接收纯verilog图像缩放纯verilog多路视频拼接应用本方案的SDI接收HLS图像缩放HLS多路视频拼接应用本方案的SDI接收OSD动态字符叠加输出应用本方案的SDI接收HLS多路视频融…

uniapp中人脸识别图片并圈起人脸

效果如上&#xff0c;我用的是阿里云的人脸识别。首先&#xff0c;我们先封装一个阿里云的请求js文件 faceRecognition.js import CryptoJS from crypto-js//SignatureNonce随机数字 function signNRandom() {const Rand Math.random()const mineId Math.round(Rand * 1000…

R语言lavaan结构方程模型(SEM)实践技术应用

基于R语言lavaan程序包&#xff0c;通过理论讲解和实际操作相结合的方式&#xff0c;由浅入深地系统介绍结构方程模型的建立、拟合、评估、筛选和结果展示的全过程。我们筛选大量经典案例&#xff0c;这些案例来自Nature、Ecology、Ecological Applications、Journal of Ecolog…

MySQL 数据库 下载地址 国内阿里云站点

mysql安装包下载_开源镜像站-阿里云 以 MySQL 5.7 为例 mysql-MySQL-5.7安装包下载_开源镜像站-阿里云