STM32CubeMX学习笔记17--- FSMC

1.1 TFTLCD简介

        TFT-LCD(thin film transistor-liquid crystal display)即薄膜晶体管液晶显示器。液晶显示屏的每一个像素上都设置有一个薄膜晶体管(TFT),每个像素都可以通过点脉冲直接控制,因而每个节点都相对独立,并可以连续控制,不仅提高了显示屏的反应速度,同时可以精确控制显示色阶,所以TFT液晶的色彩更真,因此TFT-LCD也被叫做真彩液晶显示器 常用的TFT液晶屏接口有8位、9位、16位、18位,这里的位数表示的是彩屏数据线的数量。常用的通信模式有6800模式和8080模式,本例程使用8080并口模式(简称80并口),8080接口有5条基本的控制线和多条数据线(8/9/16/18位),它们的功能如下表:

        8080接口模式的时序如下图所示:

  • 在WR跳变为低电平后,液晶屏开始读取总线上面的数据
  • 在RD跳变为低电平后,液晶屏开始放置数据到总线上面

        本例程使用3.0寸的TFT-LCD模块,驱动芯片为R61509VN,分辨率240*400,接口为16位的80并口,自带触摸功能,该模块原理图如下所示:

该模块的80并口有如下信号线

信号线作用
CSTFTLCD片选信号
WR向TFTLCD写入数据
RD从TFTLCD读取数据
RS命令/数据选择(0:读写命令,1:读写数据)
DB[15:0]16位双向数据线
RSTTFTLCD复位

任何LCD的使用流程都可以简单的用以下流程图来表示,其中硬复位和初始化序列只需要执行一次即可。画点流程:设置坐标 --> 写GRAM指令 --> 写入颜色数据,然后在LCD上就可以看到对应的点显示写入的颜色了;读点流程:设置坐标 --> 读GRAM指令 --> 读取颜色数据,这样就可以获取到对应点的颜色数据了

以上是最简单也是最常用的操作,有了这些操作一般就可以正常使用TFTLCD了。设置TFT液晶显示通常需要以下几个步骤:

- 设置STM32F1与TFTLCD模块箱连接的IO口,将与TFTLCD模块相连的IO口进行初始化,以便驱动LCD,这里使用的是STM32F1的FSMC
- 初始化TFTLCD模块(写入一系列设置值),本例程中LCD模块的复位引脚是接在STM32F1的复位上,所以直接按下开发板复位键即可;然后就是初始化序列,即向LCD控制器写入一系列的设置值(比如RGB格式、LCD显示方向、伽马校准等),这部分代码一般LCD厂商会提供。初始化之后LCD才可以正常使用
- 通过函数将字符和数字显示到TFTLCD模块上,画点流程只是一个点的处理,因此需要设计一个函数来多次使用这个步骤以实现字符和数字的显示

1.2 FSMC简介

        FSMC(Flexible Static Memory Controller即灵活的静态存储控制器)是STM32系列采用的一种新型存储器扩展技术,能够连接同步、异步存储器和16位PC存储卡,STM32通过FSMC可以与SRAM、ROM、PSRAM、NOR Flash和NAND Flash等存储器的引脚直接相连。STM32F1的FSMC内部框图如下图示:

- 时钟输入:FSMC的时钟来自时钟控制器HCLK
- AHB接口:CPU和其他AHB总线主设备可通过该AHB从设备接口访问外部静态存储器
- 外部设备:FSMC将外部设备分为2类,NOR/PSRAM设备和NAND/PC卡设备。它们共用地址数据总线等信号,但具有不同的CS以区分不同的设备

        本例程中使用的是FSMC的NOR/PSRAM存储器控制器部分,即把TFTLCD当成SRAM设备使用。NOR/PSRAM存储器控制器的接口信号功能如下图示:

        从上图中可以看出外部SRAM的控制一般有:A0 ~ A25、D0 ~ D15、NWE、NOE、NE[x],如果支持字节控制还有UB/LB信号。而TFTLCD的信号线包括:RS、DB0 ~ DB15、WR、RD、CS、RST等。由此可见它们的操作接口信号完全类似,唯一不同就是TFTLCD有RS信号没有地址信号

        TFTLCD通过RS信号来决定传送的数据是数据还是命令,可以理解为一个地址信号。比如我们把 RS 接在A0上面,当FSMC控制器写地址0的时候,会使得A0变为0,对TFTLCD来说就是写命令;而FSMC写地址1的时候,A0将会变为1,对TFTLCD来说就是写数据了。这样就把数据和命令区分开了,其实就是对应SRAM操作的两个连续地址。 当然RS也可以接在其他地址线上,本例程中RS是连接在 A10 上面的

        下面介绍一下FSMC的外部设备地址映射,从FSMC的角度外部存储器被划分为4个固定大小的存储区域(Bank),每个存储区域的大小为256MB,共1GB空间,详见下图:

        Bank1可连接多达4个NOR Flash或PSRAM存储器器件,此存储区域被划分为4个NOR/PSRAM区域,带4个专用片选信号;Bank2和Bank3用于连接NAND Flash器件;Bank4用于连接PC卡设备;对于每个存储区域,所要使用的存储器类型有用户在配置寄存器中定义。FSMC各Bank配置寄存器如下图示:

        这里使用的是Bank1,Bank1又被分为4个区,每个区管理64MB空间,每个区都有独立的寄存器对所连接的存储器进行配置。Bank1的256MB空间由28根地址线(HADDR[27:0])寻址,HADDR是内部AHB地址总线,其中HADDR[25:0]来自外部存储器地址FSMC_A[25:0],HADDR[27:26]用于对4个区寻址:

        本例程使用的是Bank1的第4区,即起始地址为0x6C000000,这里需要特别注意HADDR[25:0],HADDR[25:0]包含外部存储器地址,由于HADDR为字节地址,而存储器按字寻址,所以根据存储器数据宽度不同,实际向存储器发送的地址也有所不同,如下图示:

        不论外部接8位/16位宽设备,FSMC_A[0]始终接在外部设备地址A[0]。本例程中TFTLCD使用的是16位数据宽度,所以HADDR[0]并没有用到,只有HADDR[25:1]是有效的,对应关系变为:HADDR[25:1] --> FSMC_A[24:0],相当于右移了一位。比如地址0x7E对应的二进制是01111110,此时FSMC_A6是0而不是1,因为要右移一位。另外HADDR[27:26]是不需要我们干预的。

        FSMC的NOR Flash控制器支持同步和异步突发两种访问方式,选用不同的时序模型时,需要设置不同的时序参数:

  • 同步突发访问方式:需要设置CLKDIV(分频系数)、DATLAT(获得第一个数据所需要的等待延迟)
  • 异步突发访问方式:需要设置DATAST(数据建立时间)、ADDSET(地址建立时间)、ADDHLD(地址保持时间)

        在实际扩展时根据选用存储器的特征确定时序模型,从而确定个时间参数与存储器读写周期参数指标之间的计算关系;利用该计算关系和存储芯片数据手册中给定的参数指标,可计算出FSMC所需要的各时间参数,从而对时间参数寄存器进行合理的配置

        这里使用异步模式A(ModeA)方式来控制TFTLCD,模式A的读操作时序图如下所示:

        模式A的写操作时序图如下所示:

2. 硬件设计

LED2指示灯用来提示系统运行状态,TFTLCD的ID通过串口1打印输出

  • LED2指示灯
  • USART1
  • FSMC
  • TFTLCD模块

3、 STM32CubeMX设置

  • RCC设置外接HSE,时钟设置为72M
  • PE5设置为GPIO推挽输出模式、上拉、高速、默认输出电平为高电平
  • USART1选择为异步通讯方式,波特率设置为115200Bits/s,传输数据长度为8Bit,无奇偶校验,1位停止位

FSMC参数配置

(1)、选择FSMC,选中Bank1,片选为NE4(即Bank1的第4区),存储器类型选为LCD Interface,LCD寄存器选择(RS)设置为A10,数据宽度设为16位

在 Connectivity 中选择 FSMC 设置,并在 NOR Flash/PSRAM/SRAM/ROM/LCD 1 中选择 NE1 Chip Select 片选选择原理图中的片选引脚NE1【选择Bank1的第一区,是根据原理图的映射管脚进行选择的,这里选择不同区对应的引脚是不同的】

FSMC_NE 是用于控制存储器芯片的片选控制信号线,STM32 具有 FSMC_NE1/2/3/4 号引脚,不同的引脚对应 STM32 内部不同的地址区域。例如,当 STM32 访问 0x68000000-0x6BFFFFFF 地址空间时,FSMC_NE3 引脚会自动设置为低电平,由于它一般连接到外部存储器的片选引脚且低电平有效,所以外部存储器的片选被使能,而访问 0x60000000-
0x63FFFFFF 地址时,FSMC_NE1 会输出低电平。当使用不同的 FSMC_NE 引脚连接外部存储器时,STM32 访问外部存储的地址不一样,从而达到控制多个外部存储器芯片的目的。

  • Memory type(设置要控制的存储器类型): 选择 LCD Interface LCD接口
  • LCD Register Select(RS引脚): 选择 A16 ,RS脚也就是命令/数据选择位,同样是根据原理图得知这里应该选择A10

             Data(设置要控制的存储器的数据宽度): 选择 16 bits 很明显从原理图看出有16个数据引脚,这里选择16bits就好

          

(2)、在配置菜单中,使能存储器写,根据LCD驱动芯片的数据参数设置地址建立时间、数据保存时间、总线周转阶段持续时间(设置为0),模式设置为A

NOR/PSRAM control:

  • Write operation(设置是否写使能): 选择 Enabled ,禁止写使能的话 FSMC 只能从存储器中读取数据,不能写入。
  • Extended mode(设置是否使用扩展模式): 选择 Enabled ,在非扩展模式下,对存储器读写的时序都只使用 FSMC_BCR 寄存器中的配;在扩展模式下,对存储器的读写时序可以分开配置,读时序使用 FSMC_BCR 寄存器,写时序使用 FSMC_BWTR 寄存器的配置。
FSMC读时序配置

这里引入一个基本概念:

HCLK周期:
按STM32F103的默认配置,HCLK的时钟频率为72MHz,即一个 THCLK 为 1/72us=0.0138us=13.8us。

NOR/PSRAM timing(FSMC读时序配置):

  • Address setup time in HCLK clock cycles(地址建立时间): 填 0
  • Data setup time in HCLK clock cycles(数据建立时间): 填 26

 根据ILI9341时序配置FSMC读时序

 NEx片选后,NOE要保持一段时间的高电平,这个时间就是ADDSET地址建立时间(通过寄存器FMC_BTRx可配置)
之后NOE变为低电平,读使能。低电平保持的时间由DATAST数据建立时间(通过寄存器FMC_BTRx可配置)决定。

tast:

tast 表示地址建立时间,最小为0ns
由时序图可以知道,FSMC在ADDSET周期之后,进入DATAST周期之后将会进行数据采样。

所以我们设置(ADDSET)HCLK的时间要大于等于tast地址建立时间。
(ADDSET)HCLK >= 0ns,(0)·13.8 = 0ns,所以ADDSET可以设置为0就可保证满足最小tast地址建立时间

trdlfm:
trdlfm 表示读取数据低电平的时间,最小为355ns
ILI9341时序图没有给出ILI9341操作数据线传输被读取的数据时的相关信息,,我们最好做到满足其读取数据低电平的最小时间。
当然不做到也行,影响不大,只要在FSMC在DATAST的这个周期的数据采样中获取所有的要访问的数据就行。

(DATAST)HCLK >355ns,(26) * 13.8 = 358.8ns>355ns,所以DATAST设置为26。

  • Bus turn around time in HCLK clock cycles(总线转换周期): 填 0 ,仅适用于总线复用模式的NOR Flash操作,所以这里设0。
  • Access mode(存储器访问模式): 选 A ,LCD控制器使用 Mode A ,该模式用来控制SRAM/PSRAM且OE会翻转。控制异步 NOR FLASH 时使用 B 模式。

FSMC写时序配置

NOR/PSRAM timing for write accesses(FSMC写时序配置):

  • Extended address setup time(地址建立时间): 填 0
  • Extended data setup time(数据建立时间): 填 1

根据ILI9341时序配置FSMC写时序

NEx片选后,NWE要保持一段时间的高电平,这个时间就是ADDSET地址建立时间(通过寄存器FMC_BTRx可配置)。
之后NWE变为低电平,写使能。低电平保持的时间由DATAST数据建立时间(通过寄存器FMC_BTRx可配置)决定。

tast:

tast 表示地址建立时间,最小为0ns
由时序图可以知道,FSMC在ADDSET周期之后,进入DATAST周期之后将会进行数据采样。

  • 所以我们设置(ADDSET)HCLK的时间要大于等于tast地址建立时间。
    (ADDSET)HCLK >= 0ns,(0)·13.8 = 0ns,所以ADDSET可以设置为0就可保证满足最小tast地址建立时间。

  • tdst、tdht:

tdst:数据设置时间最小是10ns,在这个周期内WRX线处于低电平。
tdht:数据保持时间,与 twrh写控制高电平的最小时间相同,是10ns,在这个周期内WRX线处于高电平。

观察时序图,我们设置 tdst数据设置时间 为1HCLK(13.8>10)就能满足数据设置最小时间的要求,我们不需要考虑tdht数据保持时间(看上面模式B时序图,NWE变成高电平后,会持续1HCLK=13.8ns,默认满足tdht了)。

故我们只需考虑数据建立周期 DATAST 要大于10ns就行。
(DATAST)HCLK > 10ns,13.8>10 ,故DATAST 至少设置为1

  • Extended bus turn around time(总线转换周期): 填 0
  • Extended access mode(存储器访问模式): 选 A
(3)、设置背光和复位引脚

根据原理图,复位脚已经跟单片机的复位脚连接在一起了,因此只需要设置背光引脚BL_EN就行了。

 将PB0设置为GPIO推挽输出模式、上拉、高速、默认输出电平为低电平,重命名为BL_EN。

(4)、输入工程名,选择工程路径(不要有中文),选择MDK-ARM V5;勾选Generated periphera initialization as a pair of ‘.c/.h’ files per IP ;点击GENERATE CODE,生成工程代码

4、 MDK-ARM编程

  • 在fsmc.c文件下可以看到FSMC的初始化函数
void MX_FSMC_Init(void){FSMC_NORSRAM_TimingTypeDef Timing = {0};FSMC_NORSRAM_TimingTypeDef ExtTiming = {0};hsram1.Instance = FSMC_NORSRAM_DEVICE;hsram1.Extended = FSMC_NORSRAM_EXTENDED_DEVICE;/* hsram1.Init */hsram1.Init.NSBank = FSMC_NORSRAM_BANK4;hsram1.Init.DataAddressMux = FSMC_DATA_ADDRESS_MUX_DISABLE;hsram1.Init.MemoryType = FSMC_MEMORY_TYPE_SRAM;hsram1.Init.MemoryDataWidth = FSMC_NORSRAM_MEM_BUS_WIDTH_16;hsram1.Init.BurstAccessMode = FSMC_BURST_ACCESS_MODE_DISABLE;hsram1.Init.WaitSignalPolarity = FSMC_WAIT_SIGNAL_POLARITY_LOW;hsram1.Init.WrapMode = FSMC_WRAP_MODE_DISABLE;hsram1.Init.WaitSignalActive = FSMC_WAIT_TIMING_BEFORE_WS;hsram1.Init.WriteOperation = FSMC_WRITE_OPERATION_ENABLE;hsram1.Init.WaitSignal = FSMC_WAIT_SIGNAL_DISABLE;hsram1.Init.ExtendedMode = FSMC_EXTENDED_MODE_ENABLE;hsram1.Init.AsynchronousWait = FSMC_ASYNCHRONOUS_WAIT_DISABLE;hsram1.Init.WriteBurst = FSMC_WRITE_BURST_DISABLE;/* Timing */Timing.AddressSetupTime = 0x01;   //地址建立时间(ADDSET)为2个HCLK,即2/72M = 27.8nsTiming.AddressHoldTime = 15;  //默认值,地址保持时间(ADDHLD)模式A未用到Timing.DataSetupTime = 0x0f;  //数据建立时间(DATST)为16个HCLK,即16/72M = 222.2nsTiming.BusTurnAroundDuration = 0x00;  //总线恢复时间Timing.CLKDivision = 16;  //默认值(时钟分频),同步突发访问方式才需要设置此值Timing.DataLatency = 17;  //默认值(数据保持时间),同步突发访问方式才需要设置此值Timing.AccessMode = FSMC_ACCESS_MODE_A;/* ExtTiming */ExtTiming.AddressSetupTime = 0x0f;//地址建立时间(ADDSET)为16个HCLK,即16/72M = 222.2nsExtTiming.AddressHoldTime = 15;   //默认值,地址保持时间(ADDHLD)模式A未用到ExtTiming.DataSetupTime = 0x05;   //数据建立时间(DATST)为6个HCLK,即6/72M = 83.3nsExtTiming.BusTurnAroundDuration = 0x00;   //总线恢复时间ExtTiming.CLKDivision = 16;   //默认值(时钟分频),同步突发访问方式才需要设置此值ExtTiming.DataLatency = 17;   //默认值(数据保持时间),同步突发访问方式才需要设置此值ExtTiming.AccessMode = FSMC_ACCESS_MODE_A;if (HAL_SRAM_Init(&hsram1, &Timing, &ExtTiming) != HAL_OK){Error_Handler( );}__HAL_AFIO_FSMCNADV_DISCONNECTED();
}
  • 创建TFTLCD驱动文件tftlcd.c 和相关头文件tftlcd.h 本例程使用FSMC存储器1的第4区驱动LCD,TFTLCD的RS接在FSMC_A10上,CS接在FSMC_NE4上,并且是16位数据总线,可以在tftlcd.h里定义如下LCD操作结构体:
//TFTLCD操作结构体
typedef struct{uint16_t LCD_CMD;uint16_t LCD_DATA;
}TFTLCD_TypeDef;
//HADDR[27,26]=11,A10作为数据命令区分线
//设置时STM32内部会右移以为对齐
#define TFTLCD_BASE     ((uint32_t)(0x6C000000 | 0x000007FE))
#define TFTLCD          ((TFTLCD_TypeDef *) TFTLCD_BASE)
//0x6C000000是Bank1.sector4的起始地址;0x000007FE是A10的偏移量
//0x7FE即11111111110,16位数据宽度时地址需要右移一位对齐
//因此实际对应到引脚时A10:A0=01111111111,此时A10是0
//如果16位地址再加1,则A10:A0=10000000000,此时A10是1
//有了以上定义,LCD写命令/数据极为方便
TFTLCD->LCD_CMD = CMD;      //写命令
TFTLCD->LCD_DATA = DATA;    //写数据   
//读的时候反过来操作即可
CMD = TFTLCD->LCD_CMD       //读LCD寄存器
DATA = TFTLCD->LCD_DATA     //读LCD数据
tftlcd.h中另一个重要结构体:管理LCD重要参数
//LCD重要参数集
typedef struct{                                         uint16_t width;     //LCD宽度uint16_t height;    //LCD高度uint16_t id;        //LCD IDuint8_t  dir;       //LCD显示方向
}_tftlcd_data;
extern _tftlcd_data tftlcd_data;    //管理LCD重要参数
几个简单但是很重要的函数:
#define TFTLCD_R61509VN     //本例程的TFTLCD屏驱动类型为R61509VN
//写寄存器函数,cmd:寄存器地址
void LCD_WriteCmd(uint16_t cmd){TFTLCD->LCD_CMD=(cmd>>8)<<1;TFTLCD->LCD_CMD=(cmd&0xff)<<1;  //写入要写的寄存器序号
}
//写LCD数据,data:要写入的值
void LCD_WriteData(uint16_t data){TFTLCD->LCD_DATA=(data>>8)<<1;TFTLCD->LCD_DATA=(data&0xff)<<1;    
}
//写寄存器,cmd:寄存器地址;data:要写入的数据
void LCD_WriteCmdData(uint16_t cmd,uint16_t data){LCD_WriteCmd(cmd);LCD_WriteData(data);
}
//读LCD数据,返回读到的值
uint16_t LCD_ReadData(void){    uint16_t ram;       ram=(TFTLCD->LCD_DATA)>>1;ram=ram<<8;ram|=(TFTLCD->LCD_DATA)>>1; return ram; 
}
链接: 百度网盘 请输入提取码 提取码:tvil
链接: https://pan.baidu.com/s/1Zb8qI-yZ795eyjGyXpgocQ?pwd=fnro 提取码:fnro
void LCD_Display_Dir(uint8_t dir);  //设置LCD显示方向
void LCD_Set_Window(uint16_t sx,uint16_t sy,uint16_t width,uint16_t height);    //设置窗口
void LCD_Clear(uint16_t Color);     //清屏                    
void LCD_Fill(uint16_t xState,uint16_t yState,uint16_t xEnd,uint16_t yEnd,uint16_t color);  //在指定区域内填充颜色
void LCD_DrawPoint(uint16_t x,uint16_t y);  //画点
void LCD_DrawFRONT_COLOR(uint16_t x,uint16_t y,uint16_t color); //指定颜色画点
uint16_t LCD_ReadPoint(uint16_t x,uint16_t y);  //读取某点的颜色
void LCD_DrawLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2);  //画线
void LCD_DrawLine_Color(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2,uint16_t color); //指定颜色画线
void LCD_DrowSign(uint16_t x, uint16_t y, uint16_t color);  //画十字标记
void LCD_DrawRectangle(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2); //画矩形
void LCD_Draw_Circle(uint16_t x0,uint16_t y0,uint8_t r);    //指定位置画指定大小的圆
void LCD_ShowChar(uint16_t x,uint16_t y,uint8_t num,uint8_t size,uint8_t mode); //在指定位置显示一个字符
void LCD_ShowNum(uint16_t x,uint16_t y,uint32_t num,uint8_t len,uint8_t size);  //显示数字,不显示高位的0
void LCD_ShowxNum(uint16_t x,uint16_t y,uint32_t num,uint8_t len,uint8_t size,uint8_t mode);    //显示数字,显示高位的0
void LCD_ShowString(uint16_t x,uint16_t y,uint16_t width,uint16_t height,uint8_t size,uint8_t *p);  //显示字符串
void LCD_ShowFontHZ(uint16_t x, uint16_t y, uint8_t *cn);   //显示汉字                                                                                                                      
void LCD_ShowPicture(uint16_t x, uint16_t y, uint16_t wide, uint16_t high,uint8_t *pic);    //显示图片
  • 在main.c文件下编写TFTLCD测试代码
int main(void){HAL_Init();SystemClock_Config();MX_GPIO_Init();MX_FSMC_Init();MX_USART1_UART_Init();/* USER CODE BEGIN 2 */TFTLCD_Init();    FRONT_COLOR=BLACK;LCD_ShowString(10,10,240,400,12,(uint8_t*)"TFTLCD Testing...!");FRONT_COLOR=RED;LCD_ShowString(10,30,240,400,16,(uint8_t*)"TFTLCD Testing...!");FRONT_COLOR=GREEN;LCD_ShowString(10,50,240,400,24,(uint8_t*)"TFTLCD Testing...!");  /* USER CODE END 2 */while (1){HAL_GPIO_TogglePin(GPIOE,GPIO_PIN_5);HAL_Delay(200);}
}

5. 下载验证

STM32CubeMX生成的代码默认优化级别为Level 3,在此优化级别下编译无误下载到开发板后,可以看到D1指示灯不断闪烁,但是LCD屏不能被点亮;将优化级别调整到Level 0编译下载后,LCD屏能够被点亮

KEIL5中C/C++优化等级介绍: -O0:最少的优化,可以最大程度上配合产生代码调试信息,可以在任何代码行打断点,特别是死代码处。 -O1:有限的优化,去除无用的inline和无用的static函数、死代码消除等,在影响到调试信息的地方均不进行优化。在适当的代码体积和充分的调试之间平衡,代码编写阶段最常用的优化等级。 -O2:高度优化,调试信息不友好,有可能会修改代码和函数调用执行流程,自动对函数进行内联等。 -O3:最大程度优化,产生极少量的调试信息。会进行更多代码优化,例如循环展开,更激进的函数内联等。

编译无误下载到开发板后,可以看到D1指示灯不断闪烁,LCD屏显示相应的文字,串口打印出LCD的ID信息

6、参考文献

 STM32CubeMX学习笔记(38)——FSMC接口使用(TFT-LCD屏显示)_cubemx配置lcd时复位引脚-CSDN博客

STM32CubeMX系列 | TFTLCD显示 - 知乎 (zhihu.com)

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/729253.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

论文阅读:Scalable Diffusion Models with Transformers

Scalable Diffusion Models with Transformers 论文链接 介绍 传统的扩散模型基于一个U-Net骨架&#xff0c;这篇文章提出了一种新的扩散模型结构&#xff0c;将U-Net替换为一个transformer&#xff0c;并将这种结构称为Diffusion Transformers (DiTs)。他们还发现&#xff…

python77-Python的函数参数,个数可变参数

很多编程语言都允许定义个数可变的参数,这样可以在调用函数时传入任意多个参数。Python当然也不例外,Python 允许在形参前面添加一个星号(*),这样就意味着该参数可接收多个参数值,多个参数值被当成元组传入。下面程序定义了一个形参个数可变的函数。 # !/usr/bin/env pyth…

阿里云服务器使用教程_2024建站教程_10分钟网站搭建流程

使用阿里云服务器快速搭建网站教程&#xff0c;先为云服务器安装宝塔面板&#xff0c;然后在宝塔面板上新建站点&#xff0c;阿里云服务器网aliyunfuwuqi.com以搭建WordPress网站博客为例&#xff0c;来详细说下从阿里云服务器CPU内存配置选择、Web环境、域名解析到网站上线全流…

Vscode连接远程服务器失败解决方案

一、 could not establish connection to “XXX” 尝试使用Remote-SSH插件连接远程的服务器&#xff0c;但是配置显示出错&#xff0c;端口显示试图写入的管道不存在&#xff0c;弹出窗口显示could not establish connection to “XXX” 二、检查Windows的OpenSSH 1.检索是否…

Java中注解@RequestParam 和 @ApiParam详解

一、RequestParam 和 ApiParam的常用属性 RequestParam 和 ApiParam 是在 Spring MVC 控制器方法中使用的注解&#xff0c;它们分别服务于不同的目的&#xff1a; RequestParam RequestParam 是 Spring MVC 中用来处理 HTTP 请求参数的注解&#xff0c;主要用于绑定请求中的查…

数据分析项目[开发中]

学习进度记录&#xff1a; 12.7&#xff1a; 教程链接&#xff1a;智能 BI 项目教程(一) (yuque.com) 前端&#xff1a; Ant Design Pro&#xff1a;开始使用 - Ant Design Pro​​​​​​ 然后安装依赖 yarn install 去除不需要的&#xff1a; 移除国际化 【报错】 …

【数据结构与算法】二分查找题解(二)

这里写目录标题 一、81. 搜索旋转排序数组 II二、167. 两数之和 II - 输入有序数组三、441. 排列硬币四、374. 猜数字大小五、367. 有效的完全平方数六、69. x 的平方根 一、81. 搜索旋转排序数组 II 中等 已知存在一个按非降序排列的整数数组 nums &#xff0c;数组中的值不必…

【Linux】iftop命令详解

目录 一、iftop简介 二、安装iftop命令 2.1 命令查看测试环境系统信息 2.2 查看iftop版本与命令帮助 三、iftop的基本使用 3.1 直接使用iftop命令 3.2 iftop的显示说明 3.3 指定监控某块网卡 3.4 显示某个网段进出封包流量 3.5 按照流量排序 3.6 过滤显示连接 3.7 …

米酒生产加工污水处理需要哪些工艺设备

米酒生产加工过程中产生的污水是一项重要的环境问题&#xff0c;需要采用适当的工艺设备进行处理。下面将介绍一些常用的污水处理工艺设备。 首先&#xff0c;生产过程中的污水需要进行初级处理&#xff0c;常见的设备包括格栅和砂池。格栅用于去除污水中的大颗粒杂质&#xff…

金相显微镜(金相镜)主要用于材料金相分析 我国市场集中度较低

金相显微镜&#xff08;金相镜&#xff09;主要用于材料金相分析 我国市场集中度较低 金相显微镜又称为金相镜&#xff0c;是指通过光学放大&#xff0c;对材料显微组织、低倍组织和断口组织等进行分析研究和表征的光学显微镜。金相显微镜通常由目镜、物镜、照明系统、旋转台等…

视频监控平台EasyCVR+4G/5G应急布控球远程视频监控方案

随着科技的不断发展&#xff0c;应急布控球远程视频监控方案在公共安全、交通管理、城市管理等领域的应用越来越广泛。这种方案通过在现场部署应急布控球&#xff0c;实现对特定区域的实时监控&#xff0c;有助于及时发现问题、快速响应&#xff0c;提高管理效率。 智慧安防视…

window vscode安装node.js

window vscode安装node.js 官网下好vscode 和nodejs 点这个安装 等待安装完毕 在VScode运行 npm -v node -v 显示版本号则成功

【剑指offer】70--矩形覆盖[C++]

目录 1. 题目描述 2. 解题思路 3.【C解法】 4. 输出结果 1. 题目描述 我们可以用 2*1 的小矩形横着或者竖着去覆盖更大的矩形。请问用 n 个 2*1 的小矩形无重叠地覆盖一个 2*n 的大矩形&#xff0c;总共有多少种方法&#xff1f;注意&#xff1a;约定 n 0 时&#xff0c;输…

StarCoder 2:GitHub Copilot本地开源LLM替代方案

GitHub CoPilot拥有超过130万付费用户&#xff0c;部署在5万多个组织中&#xff0c;是世界上部署最广泛的人工智能开发工具。使用LLM进行编程辅助工作不仅提高了生产力&#xff0c;而且正在永久性地改变数字原住民开发软件的方式&#xff0c;我也是它的付费用户之一。 低代码/…

JVM-对象创建与内存分配机制深度剖析 3

JVM对象创建过程详解 类加载检查 虚拟机遇到一条new指令时&#xff0c;首先将去检查这个指令的参数是否能在常量池中定位到一个类的符号引用&#xff0c;并且检查这个 符号引用代表的类是否已被加载、解析和初始化过。如果没有&#xff0c;那必须先执行相应的类加载过程。 new…

【小黑送书—第十一期】>>如何阅读“计算机界三大神书”之一 ——SICP(文末送书)

《计算机程序的构造和解释》&#xff08;Structure and Interpretation of Computer Programs&#xff0c;简记为SICP&#xff09;是MIT的基础课教材&#xff0c;出版后引起计算机教育界的广泛关注&#xff0c;对推动全世界大学计算机科学技术教育的发展和成熟产生了很大影响。…

园区内网配置——华为

部署思路 表1 设备登录安全策略部署 部署建议 功能描述 应用场景 配置本地Console口登录的安全功能 配置Console口用户界面的认证方式和用户级别等。 本地Console口登录设备时建议配置此功能&#xff0c;提升本地设备登录的安全性。 配置远程STelnet登录的安全功能 配置…

c1-第三周

文章目录 1月份2.定义一个整形数组arr2.定义整形栈s3.输入一个字符串包括大小写和数字&#xff0c;将其中的大写英文字母改为小写&#xff0c;并且输出数字个数4.根据下面数据&#xff0c;编程实现要求功能&#xff1a; 9月1.编写程序实现以下功能或问题3.完成以下功能4.对运算…

数据结构之单链表详解(C语言手撕)

​ &#x1f389;个人名片&#xff1a;&#x1f43c;作者简介&#xff1a;一名乐于分享在学习道路上收获的大二在校生 &#x1f648;个人主页&#x1f389;&#xff1a;GOTXX &#x1f43c;个人WeChat&#xff1a;ILXOXVJE &#x1f43c;本文由GOTXX原创&#xff0c;首发CSDN…

移动执法远程视频监控方案:视频监控系统EasyCVR+4G/5G移动执法仪

一、背景需求 在现代城市管理中&#xff0c;移动执法仪视频监控方案正逐渐成为一种高效、便捷的管理工具。该方案通过结合移动执法仪和视频监控技术&#xff0c;实现了对城市管理现场的实时监控和取证&#xff0c;有效提升了城市管理水平和效率。 移动执法仪作为现场执法的重…