新一代科学计算与系统建模仿真平台MWORKS 2024a震撼发布:产品强势进化,更新亮点速览!

2月25日,同元软控成功举办MWORKS 2024产品发布会,会上公布了新版MWORKS的设计理念、关键技术、版本亮点、产品特性以及重大改进。当前,科学计算与系统建模仿真平台MWORKS 2024a已正式上线,开放下载。

MWORKS已成为全球第4个完整的科学计算与系统建模仿真一体化平台,为世界提供科学计算与系统建模仿真平台的中国选项,为各行业装备数智化提供统一的信息物理融合系统(CPS)计算仿真底座。此次更新的2024a版本带来了5大强势亮点,发布3个解决方案全新发布23个新产品大幅更新14个产品,端云一体自主化,与国产信创产品全面兼容,全面提升用户使用体验!

△ MWORKS 2024a 亮点介绍

全新发布的23个新产品,涵盖Sysblock框图与状态机建模、并行计算、半物理仿真、嵌入式代码生成与验证、模型集成与联合仿真等基础工具,覆盖信号处理与通信、雷达、控制系统、机械多体、电气、流体等领域。例如全新推出的CAD工具箱,支持将CAD模型转换为动力学和运动学模型,并能够人工调节导入过程并支持迭代设计,从而实现设计和仿真的一体化。全新推出的模型降阶及融合仿真工具,支持读取三维场数据构建降阶模型,实现了场仿真与系统仿真的高效融合,从而实现机理-数据融合方案的一站式操作。

大幅更新的14个产品,涉及数学与优化、控制系统、设计优化、机械、流体和嵌入式代码生成等工具。这些工具的更新优化都以应用驱动,或历经严苛的真实工业场景考验,或经过了大规模教学科研场景的迭代打磨,确保了产品能够更好的满足各领域的实际需求。

(1)全球第4个科学计算与系统建模仿真一体化平台

基于模型的系统设计计算、建模与仿真是CPS时代工业软件的发展趋势,科学计算与系统建模仿真的一体化平台是CPS设计计算、建模与仿真的核心支撑。

△ 科学计算与系统建模仿真一体化平台的三大关键能力

统一环境下的多范式建模仿真能力、模型驱动的多目标代码生成能力,以及丰富的专业工具箱与生态共建能力是科学计算与系统建模仿真一体化平台的三大关键能力。

关键能力一:统一环境下的多范式建模仿真能力

过去,Sysplorer基于Modelica强大的多领域表达能力,支持机、电、液、控、热的多领域统一建模,支持陈述式表达模型、模型方程分析及求解规划,支持大规模复杂系统高效仿真求解,提供丰富易用的可视化后处理环境。

本次创新地在Sysplorer统一环境下实现Sysblock框图建模:功能、性能、易用性全面对标实现;模型和代码之间具备双向追溯能力;混合建模仿真,物理、框图、状态机模型无缝集成。

 #  Sysblock框图建模:功能、性能、易用性全面对标实现

  • 涵盖数学库、离散库、信号源库、接口库、查表库、子系统库、状态机库等 90 多个常用模块

  • 子系统层次化建模:支持用户将任意模块封装为一个整体

  • 条件执行子系统:使能、触发、使能触发、函数调用子系统

  • 多任务多速率:支持多任务多速率及代码生成,可用于分区并行计算

  • 模型推导:采样时间推导、变量类型推导、变量维度推导

  • 诊断系统:提供针对模块的诊断系统,帮助用户快速定位问题

△ Sysblock框图建模:功能、性能、易用性全面对标实现

 #  Sysblock状态机建模:功能、性能、易用性全面对标实现

  • 支持转移动作和条件动作

  • 状态内动作支持区分entry、during、exit动作

  • 支持结点、历史结点

  • 支持输入、输出、局部事件

  • 支持并行状态

  • 支持状态跨层转移

  • 支持内、外部转移

  • 支持带条件的缺省转移,支持同时存在多个缺省转移

  • 支持变量同时在父状态和子状态中赋值

  • 支持指定状态机的生成代码函数封装形式

  • 支持可视化单步调试

△ Sysblock状态机建模:功能、性能、易用性全面对标实现

 #  模型和生成的代码之间具备双向追溯能力

  • 因果式建模,从底层机制上支持模型与代码的追溯

  • 代码生成框架改造,生成过程中保留追溯信息,适应双向追溯需求

  • 支持代码查看与切换

  • 支持代码搜索与定位

△ 模型和生成的代码之间具备双向追溯能力

 #  混合建模仿真:物理、框图、状态机模型无缝集成

  • 物理模型走物理建模的流程,生成桌面端代码,框图模型走框图建模的流程,生成嵌入式代码

  • 框图模型的各项功能均可生效,例如类型定制、数据字典等

  • 物理模型和框图模型的仿真结果均支持查看

  • 内核底层自动进行交互接口之间的类型匹配转换

△ 混合建模仿真:物理、框图、状态机模型无缝集成

因此,Sysplorer 2024a支持真正的多范式统一建模,可实现统一建模环境、统一语言规范、统一编译分析、统一代码生成、统一调度求解

△ Sysplorer 2024a支持真正的多范式统一建模

关键能力二:模型驱动的多目标代码生成

针对模型驱动的多目标代码生成,Julia社区尚无成熟方案,如PackageCompiler,其将Julia编译器整体打包到动态链接库或可执行文件,存在严重短板,如:体积大(>200MB);编译时间长、启动延迟高;可以创建多个动态库,但无法同时加载;跨平台编译支持不足,难以部署到ARM架构或嵌入式系统等。其难点在于Julia动态调用结合多重分派,导致理论上Julia编译结果仍无法脱离编译器;Julia IR严重缺少规范文档。

Syslab代码生成具有唯一性、复杂性、独特性、适用性4大优越特性,全球首创Julia静态代码生成技术;技术难度高,深入语言内核,源码自主可控;低延迟加载、部署体积小、支持跨平台/硬件架构、支持嵌入式部署Julia算法;不依赖Julia运行时,未来前景广阔。

△ Syslab代码生成

MWORKS 2024a已支持CPS系统模型代码生成,同时包含Modelica物理域模型、Sysblock控制模型,Syslab通信算法模型(SyslabObject封装)的混合系统模型可实现代码生成与仿真。

△ MWORKS 2024a已支持CPS系统模型代码生成

关键能力三:丰富的专业工具箱与生态共建能力

MWORKS联合合作伙伴构建了丰富的专业工具箱,基于开放架构支持生态共建、共享、共赢。涵盖传动、液压、电机、热流等多个典型专业,覆盖航天、航空、汽车能源、船舶等多个重点行业,并支持用户自行扩展;提供的基础模型有助于大幅降低复杂产品模型开发的门槛,降低模型开发人员的学习成本,消减数字化转型的阻力,从而加速装备行业数字化转型;提供AI与数据科学、信号处理与通信、控制系统、机械多体、代码生成校核&验证与确认、模型集成与联合仿真等多个类别的应用工具,满足多样化的数字化设计、分析、仿真及优化需求。同元软控认为,新一代科学计算与系统建模仿真平台,需要在更多行业应用中充分迭代,需要有更多的合作伙伴共同发展,才能真正实现软件的强大和生态的繁荣。

△ 丰富的专业工具箱与生态共建能力

(2)MWORKS 2024:创新 兼蓄,不止于替代

本次MWORKS 2024a版本呈现“超强性能、智能加持、端云一体、兼蓄经典、开放架构”五大强势亮点。

版本亮点一:超强性能 国际先进

高性能科学计算

 #  5大性能改进

  • 函数库加载:全量函数库加载耗时,提速30%以上

  • 函数库运行:语言基础库计算提速57%,基础数学库计算提速67%,信号处理库计算提速40%

  • 绘图:基于曲线采样算法,千万级数据点绘图的交互性能(如框选缩放)从10s降低到1~2s

  • APP:采用Julia计算服务化,解决APP每次启动慢、内存占用高等问题

  • 双向融合:C++调用Julia,采用同元开发的TyJuliaCAPI,性能提升显著。例如,某芯片产业模型,仿真700万步,从4小时提升到6分钟

△ 高性能科学计算

高性能系统仿真内核

 #  历经5年重构的新一代系统建模仿真引擎

  • 统一多范式建模:多范式建模统一表达、扩展过程式框图建模语义、扩展状态机建模语义、支持框图与物理模型混合建模仿真

  • 语义增强:支持FMI3.0、支持同步时钟语义、支持稳态计算、支持框图模型双向追溯功能

  • 高性能编译仿真引擎:编译核心机制重构、代码生成模板引擎重构、非线性求解算法优化、流程细节梳理打磨

  • 体验优化:更完备的文本格式化功能、更易用的报错诊断功能、更精准的变量可变性检查功能、函数支持可变数组

△ 高性能系统仿真内核

版本亮点二:智能加持 拥抱未来

自动编程:MWORKS Copilot

  • 智能问答:具备跟ChatGPT一样的问答模式,节省查找资料的时间

  • 自动翻译:支持将一种编程语言实现的算法翻译成另外一种编程语言实现

  • 支持Python、Julia、M等编程语言

* MWORKS Copilot是实验特性,背后的语言模型基于CodeGeex

(https://github.com/THUDM/CodeGeeX)

△ 自动编程:MWORKS Copilot

智能控制:新增强化学习工具箱

  • 智能体:提供DQN、PPO、SAC和DDPG等十五种模型智能体(Agent)模块

  • 环境交互:面向资源分配、机器人和自主系统等复杂应用场景,提供策略、控制器和决策算法

  • 支持使用深度神经网络或查找表来表示策略和值函数,支持在使用FMU格式建模的环境下进行调用

△ 智能控制:新增强化学习工具箱

数智孪生:支持构建机理数据融合的孪生系统

  • 统计及机器学习工具箱:支撑回归分析、分类分析、聚类分析、降维、增量学习以及工业统计等

  • 深度学习工具箱(Powered by MindSpore®):基于华为AI框架MindSpore构建的深度学习工具箱,支持深度学习领域中的图像深度学习、时序、序列和文本深度学习、预训练网络、图神经网络、网络训练组件以及函数逼近与聚类

  • 模型降阶及融合仿真工具:为一三维联合仿真、机理数据融合提供了降阶模型和数据模型生成工具,实现了场仿真与系统仿真的高效融合和机理数据融合方案的数据模型便捷生成

△ 数智孪生:支持构建机理数据融合的孪生系统

△ 多物理场融合

版本亮点三:端云一体 协同进化

基于“云”的新一代的科学计算与系统仿真IDE

  • 免于安装,在线升级:浏览器运行,即开即用、版本无感升级、公有云MoHub私有云Syslink

  • 弹性计算,按需调度:容器部署、并行计算、分布式仿真

  • 在线共享,实时协作:敏捷、瀑布多种协同工作流、模型技术状态管理、版本管理、冲突解决工具

  • 生态开放,宜于扩展:云化SDK、APP开发套件、应用市场

MWORKS Online

  • 无需安装,通过Web浏览器即可访问

  • 提供完备的在线交互式编程环境,支持在线可交互绘图,内置丰富的科学计算函数和系列专业工具箱

  • 功能覆盖桌面版80%以上

△ Syslab Online

  • 增强在线管理与协同,新增个人工作空间、版本管理等功能

  • 与Syslab统一技术栈,享用现代化IDE的编程体验,提供更便捷的图文交互建模

  • 优化结果后处理功能,新增表格视图、新增视图窗口管理等功能,

  • 功能覆盖桌面版70%以上

△ Sysplorer Online

版本亮点四:兼蓄经典 创新超越

M语言兼容运行

  • 无需安装MATLAB,直接运行在Syslab上

  • M语法及程序行为兼容度超过97%

  • 支持代码调试、搜索路径、MEX机制等高级功能

  • 某芯片产业的77+应用场景案例,8万行代码,验证通过率100%,对标精度达到1e-15

△ 无需安装MATLAB,直接运行在Syslab上

△ M语言兼容运行

Simulink模型导入

  • 直接导入Simulink模型文件(.slx),解析并转换成Sysplorer模型

  • 支持80+Simulink常用组件的转换

  • 支持12种Simulink求解算法

  • 支持Simulink子系统模型的转换

  • 某芯片产业的80+应用场景案例,验证通过率94%,对标精度达到1e-15

△ Simulink模型导入

对用户语言的思考

 #  进程内多语言统一的三大底层关键技术

  • 跨语言数据模型对接:数据类型被不同语言理解

  • 基于析构器和对象池的跨语言生命周期管理:数据内存在不同语言间安全地创建、传递和自动释放

  • 跨语言+跨ABI错误处理:错误处理在不同语言间安全传递、在每一门语言里原汁原味地处理

 #  以Julia为主语言,兼顾Python、M等其他编程语言,打造新一代的多语言科学计算环境

△ 对用户语言的思考

版本亮点五:开放架构 生态共赢

构建信息物理融合开放平台

  • 基于云原生,构建下一代CPS平台:基于公有云的云原生服务,构建面向AI的下一代CPS平台

  • 定义开放架构和接口标准,支持灵活接入:支持按需开发、扩展、替换工具箱,使能各产业共建共享

  • 基于开放架构,融合行业内外部优势力量:融合先进性能力,打造面向AI时代的CPS平台

  • 基础设施构筑在公有云底座:基于国产硬件和公有云的基础底座,充分发挥公有云基础服务的优势

△ 构建信息物理融合开放平台

共建行业数字化开放生态

  • 发布开放系统架构规范v1.0:底层算法可修改,应用资源可扩展、外部系统可集成

  • 依托规范实现架构改造:改造平台层API、构建应用层样板间,实现内核层可替代

  • 产业应用驱动,保障开放架构可信:在合作伙伴的产业应用中,验证了架构开放性和平台扩展性

  • 形成开放架构的最佳实践:聚焦汽车、航空等典型产业,解决实际工程问题,形成了行业最佳实践

△ 共建行业数字化开放生态

△ MWORKS 2024a控制系统系列工具箱概览

MWORKS 2024a控制系统系列工具箱重要特性

  • 引入Sysblock提供增强的因果式建模功能

  • 基于系统辨识的数据建模

  • 线性化Modelica模型并设计控制器

  • 模型驱动的嵌入式代码自动生成

* MWORKS 2024a全面支撑控制系统基于模型的设计

控制系统基于模型的设计

  • 在开发全流程系统地使用模型:包括系统架构建模、设计实现、集成仿真、自动代码生成以及验证和确认

  • 以仿真和代码生成为中心:实现对部件的设计与测试、研究和评估的增量式交付

△ 控制系统基于模型的设计

系统分析与设计

  • 动态对象建模和仿真:针对构成系统的组件,采用最合适的建模方法描述其复杂动态,并集成为系统级对象模型

  • 对象模型分析:针对线性对象开展时域、频域、稳定性等分析;针对非线性Modelica物理对象模型的线性分析

  • 设计并调节反馈控制器:线性化对象模型,利用伯德图、根轨迹图和其他设计方法开展控制系统设计。并分析系统关键性能参数,如超调量、上升时间和稳定裕度

  • 设计和仿真调度逻辑:利用Sysblock状态机开展控制系统中调度逻辑的建模、设计与仿真,以实现控制器的运转、操作模式的切换及执行故障检测、隔离与恢复(FDIR)

△ 系统分析与设计

设计实现与测试

  • 模型驱动的自动代码生成:模型驱动的自动代码生成可以产生能立即部署到目标硬件上并进行测试的软件,实现对系统的设计与测试、研究和评估的增量式交付

  • 基于代码生成的测试验证:通过RCP对控制逻辑进行虚拟测试,以验证控制逻辑的功能和性能是否满足设计需求;通过HIL测试,确保产品的最终功能和性能能够满足设计需求,尤其是验证其纠障能力及极限工况下的运行情况

△ 设计实现与测试

△ MWORKS 2024a 电子信息系列工具箱概览

功能全面覆盖

 #  信号处理工具箱

  • 执行通用信号处理和分析

  • 243(90%)核心函数覆盖

 #  DSP系统工具箱

  • 对数据流信号处理系统进行设计和仿真

  • 203(90%)核心函数覆盖

 #  小波工具箱(全新发布)

  • 对信号和图像进行时频和小波分析

  • 175(90%)核心函数覆盖

 #  通信工具箱

  • 设计、仿真和分析通信系统

  • 279(90%)核心函数覆盖

 #  雷达工具箱(全新发布)

  • 设计、仿真和测试多功能雷达系统

  • 117(60%+)核心函数覆盖

 #  相控阵系统工具箱(全新发布)

  • 设计和仿真相控阵与波束成形系统

  • 151(60%+)核心函数覆盖

性能持续提升

  • 性能提升:2024a版本对信号处理库核心函数进行全面性能优化,相较于2023a,函数首次运行速度提升约40%

  • 速度优势:核心函数平均运行耗时约为M软件60%

△ 性能持续提升

电子信息系统解决方案

  • 每一代电子信息系统的迭代都依赖新的设计平台和工具箱,以承载新一代系统的技术栈、Know-How、工具链

  • MWORKS.Syslab和MWORKS电子信息工具箱,融合算法模型设计、仪器仪表控制、代码实现仿真,提供基带、射频、天线Know-How集成设计平台和工具,支撑先进电子信息系统(分立系统/SoC)整体解决方案

△ 电子信息系统解决方案

5G无线通信系统设计解决方案

在5G无线通信系统中,大规模天线阵列是5G及B5G无线通信关键技术之一,通过把原有发送端天线数量提升一个数量级,可以提升通信系统容量、频谱效率和能量效率。同时可以提高空时分辨率,在MIMO雷达技术中起到重要作用。在此基础上,大规模阵列天线为波束赋形、信道预编码、信道建模等方向带来了新的挑战。

本系统展示了如何在大规模 MIMO 通信系统的发射端采用混合波束成形技术提升通信系统的质量,通过混合波束赋型进行数字基带和模拟滤波的权重分配。进一步的,本系统也采用了编解码,调制解调,信道,均衡等模块进行通信系统的设计与测量。充分验证了syslab相控阵工具箱和通信工具箱在5G无线通信系统设计解决方案中的重要作用。

△ 5G无线通信系统设计解决方案

支撑射频前端和基带的滤波器设计工具

  • 滤波器设计工具已支持低通、高通、带通、带阻、微分器、Hilbert变换器等全部滤波器类型,并支持模拟滤波器、数字滤波器、基于最小化P范数的最优滤波器和基于Chebyshev近似的等波纹滤波器等全部滤波器设计方法,可以指定最平坦、Nyquist,峰值、陷波等制定滤波器响应类型

  • 滤波器设计工具完整支持用户各种半带滤波器、陷波滤波器,设计精度超过10的-11次方

  • 滤波器设计工具提供全套滤波器分析方法(连续域、离散域)和量化定点设计工具

△ 支撑射频前端和基带的滤波器设计工具

雷达系统设计解决方案

  • 雷达信号处理基础:完备的雷达信号处理工具体系,提供开箱即用的算法验证体验

  • 雷达系统性能:不同环境下的雷达增益、损失、探测性能分析工具,助力系统关键指标设计

  • 综合场景应用-机载雷达 STAP:端到端建模仿真雷达系统与环境,支撑综合场景评估

△ 雷达系统设计解决方案

* 电子信息系统解决方案旨在为相关用户提供建模基座,在信息系统多学科共享一套基础算子,并在电磁空间构建一套基础数字样机,方便专业用户基于解决方案设计业务实现,提高建模仿真效率。

MWORKS 2024a作为更加强大的数字样机与数字孪生支撑平台,更好地支持全要素样机构建、跨阶段持续演进、分层级数字交付数智化孪生应用

​全要素数字样机构建

全新推出CAD工具、ROMBuilder工具,升级SysML-Modelica工具、FMI工具,支持几何-状态融合、多物理场融合、设计-验证融合、系统-专业融合,更好地实现全要素样机构建。

  • 升级SysML-Modelica工具,支持将SysML模型转换为Modelica模型,强化了布局位置一致性、工具软件兼容性、SysPhs标准支持,更好地实现设计-验证融合

  • 全面推出CAD工具,平台集成度更高、版本兼容性更强、连接件分组更准、免安装开箱即用、扩展维护性更强、图形引擎更开放,更好地实现几何-状态融合

  • 升级FMI工具,在FMI v1.0/2.0版本基础上,新增FMI v3.0能力,获得官网认证,全面支持专业仿真模型基于FMI标准的集成,更好地实现系统-专业融合

  • 全面推出ROMBuilder工具,均衡物理场模型的求解精度与效率,降阶策略更优化、降阶功能更完整、操作流程更简便、评价指标更丰富,更好地实现多物理场融合

△ MWORKS 2024a更好支持全要素数字样机构建

跨阶段数字样机演进

升级Design Optimization工具,提供模型试验、敏感度分析、参数估计、响应优化等能力,通过标定评价使得数字样机向孪生级发展,更好地实现数字样机跨阶段演进。

分层级数字样机交付

升级Syslink工具,提供更高效的模型协同模式,新增多分支功能、冲突解决工具、模型自动化测试功能、在线发布功能,优化模型评审功能,提供更通用的模型管理工具,支持接入协同平台、通用文件管理,更好地支持分层级数字样机协同与交付。

数字孪生智能化应用

  • MWORKS接口库提供通信接口库、数据转码库,Coder工具支持Sysplorer Coder与Syslab Coder,更好地支持虚实交互。

  • MWORKS AI工具箱提供机器学习、强化学习、深度学习能力,更好地支持数字孪生体与孪生系统的智能演化。

MWORKS 2024a将全面应用于战略筹划、装备论证、研制生产、试验鉴定、运用保障各阶段,支撑装备系统研制模式变革、产品智能升级和数智资产重构,加速复杂装备数字化转型。

标准、开放、自主、先进,是MWORKS的立足之本。过去几年,同元软控围绕行业应用需求和装备数字化需求,持续迭代完善MWORKS。2024年,MWORKS完成了里程碑的重大升级,系统建模仿真内核五年重构,框图建模与代码生成突破窠臼,科学计算大量创新,工具箱家族不断扩大。

  • 创新地在Sysplorer统一环境下实现Sysblock框图建模

  • 形成相对完整的科学计算与系统建模仿真一体化平台

  • 系统内核5年重构,性能大幅度提升,跃居国际领先

  • MWORKS.Syslab性能大幅提升,覆盖80%对标软件功能与函数

  • MWORKS平台航天、航空、车辆、芯片、无线等多个产业工程验证,成为科学计算与系统建模仿真底座,完整支撑装备数字化

MWORKS 2024a 已开放下载

△ MWORKS 2024a下载地址 

全新的MWORKS 2024a现已开放下载,欢迎新老用户踊跃下载、积极试用

/

如需了解更多MWORKS相关信息,可扫码查阅MWORKS产品手册。

△ 扫码查阅MWORKS产品手册 

欢迎关注“MWORKS”公众号,我们将不定期推出更多的技术文章,敬请期待后续推送!


科技践于行,创新无止境。MWORKS持续迭代,强势进化,为世界提供科学计算与系统建模仿真平台的中国选项。

未来,我们仍将秉承“融入工业创新,共创先进软件”的发展理念,紧抓时代技术发展趋势,围绕行业应用需求,在工程实践中反复迭代,不断锤炼MWORKS,打造开放的装备数字化计算仿真底座,积极促进软件产业生态完善,持续推动中国工业软件全面发展与创新突破!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/710985.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

C# 高阶语法 —— Winfrom链接SQL数据库的存储过程

存储过程在应用程序端的使用的优点 1 如果sql语句直接写在客户端,以一个字符串的形式体现的,提示不友好,会导致效率降低 2 sql语句写在客户端,可以利用sql注入进行攻击,为了安全性,可以把sql封装在…

嘉立创专业版导入SW模型的板框

1、SW新建一个需要的模型,例如下图, 2、点击另存为.dxf 文件(是.dxf文件) 3、选择要保存模型的视图,如上视图,确定后出现上视图板框形状,然后保存即可。 4、打开嘉立创,点击文件——…

整数编码【华为OD机试-JAVAPythonC++JS】

题目描述 实现一种整数编码方法,使得待编码的数字越小,编码后所占用的字节数越小。 编码规则如下: 编码时7位一组,每个字节的低7位用于存储待编码数字的补码 字节的最高位表示后续是否还有字节,置1表示后面还有更多的字节&#xf…

日志系统项目实现

日志系统的功能也就是将一条消息格式化后写入到指定位置,这个指定位置一般是文件,显示器,支持拓展到数据库和服务器,后面我们就知道如何实现拓展的了,支持不同的写入方式(同步异步),同步:业务线程自己写到文…

万卡集群:字节搭建12288块GPU的单一集群

文章目录 论文Reference 论文 MegaScale: Scaling Large Language Model Training to More Than 10,000 GPUs 论文链接:https://arxiv.org/abs/2402.15627 从结构上讲,网络是基于Clos的“胖树”结构。其中一个改进是在顶层交换机上把上行与下行链路分开&…

三、《任务列表案例》前端程序搭建和运行

本章概要 整合案例介绍和接口分析 案例功能预览接口分析 前端工程导入 前端环境搭建导入前端程序 启动测试 3.1 整合案例介绍和接口分析 3.1.1 案例功能预览 3.1.2 接口分析 学习计划分页查询 /* 需求说明查询全部数据页数据 请求urischedule/{pageSize}/{currentPage} 请…

stm32触发硬件错误位置定位

1.背景 1. 项目中,调试过程或者测试中都会出现程序跑飞问题,这个时候问题特别难查找。 2. 触发硬件错误往往是因为内存错误。这种问题特别难查找,尤其是产品到了测试阶段,而这个异常复现又比较难的情况下,简直头疼。…

初学JavaScript总结

0 JavaScript html完成了架子,css做了美化,但是网页是死的,需要给他注入灵魂,所以接下来需要学习JavaScript,这门语言会让页面能够和用户进行交互。JavaScript又称为脚本语言,可以通过脚本实现用户和页面的…

Programming Abstractions in C阅读笔记:p306-p307

《Programming Abstractions in C》学习第75天,p306-p307总结,总计2页。 一、技术总结 1.Quicksort algorithm(快速排序) 由法国计算机科学家C.A.R(Charles Antony Richard) Hoare(东尼.霍尔)在1959年开发(develop), 1961年发表…

Mac 制作可引导安装器

Mac 使用U盘或移动固态硬盘制作可引导安装器(以 Monterey 为例) 本教程参考 Apple 官网相关教程 创建可引导 Mac OS 安装器 重新安装 Mac OS 相关名词解释 磁盘分区会将其划分为多个单独的部分,称为分区。分区也称为容器,不同容器…

VR虚拟现实技术应用到猪抗原体检测的好处

利用VR虚拟仿真技术开展猪瘟检测实验教学确保生猪产业健康发展 为了有效提高猪场猪瘟防控意识和检测技术,避免生猪养殖业遭受猪瘟危害,基于VR虚拟仿真技术开展猪瘟检测实验教学数据能大大推动基层畜牧养殖业持续稳步发展保驾护航。 一、提高实验效率 VR虚…

鲲鹏arm64架构下安装KubeSphere

鲲鹏arm64架构下安装KubeSphere 官方参考文档: https://kubesphere.io/zh/docs/quick-start/minimal-kubesphere-on-k8s/ 在Kubernetes基础上最小化安装 KubeSphere 前提条件 官方参考文档: https://kubesphere.io/zh/docs/installing-on-kubernetes/introduction/prerequi…

基于大模型思维链(Chain-of-Thought)技术的定制化思维链提示和定向刺激提示的心理咨询场景定向ai智能应用

本篇为个人笔记 记录基于大模型思维链(Chain-of-Thought)技术的定制化思维链提示和定向刺激提示的心理咨询场景定向ai智能应用 人工智能为个人兴趣领域 业余研究 如有错漏欢迎指出!!! 目录 本篇为个人笔记 记录基…

价格腰斩,腾讯云2024优惠活动云服务器62元一年,多配置报价

腾讯云服务器多少钱一年?62元一年起,2核2G3M配置,腾讯云2核4G5M轻量应用服务器218元一年、756元3年,4核16G12M服务器32元1个月、312元一年,8核32G22M服务器115元1个月、345元3个月,腾讯云服务器网txyfwq.co…

Node.js中的并发和多线程处理

在Node.js中,处理并发和多线程是一个非常重要的话题。由于Node.js是单线程的,这意味着它在任何给定时间内只能执行一个任务。然而,Node.js的事件驱动和非阻塞I/O模型使得处理并发和多线程变得更加高效和简单。在本文中,我们将探讨…

老化的电动车与高层电梯楼的结合,将是巨大的安全隐患

中国是全球最大的电动汽车市场,其实中国还是全球最大的电动两轮车市场,而电动两轮车的老化比电动汽车更快,电动汽车的电池寿命可以达到10年,而电动两轮车的电池寿命只有3-5年,而首批电动两轮车至今已老化得相当严重&am…

【Pytorch深度学习开发实践学习】【AlexNet】经典算法复现-Pytorch实现AlexNet神经网络(1)model.py

算法简介 AlexNet是人工智能深度学习在CV领域的开山之作,是最先把深度卷积神经网络应用于图像分类领域的研究成果,对后面的诸多研究起到了巨大的引领作用,因此有必要学习这个算法并能够实现它。 主要的创新点在于: 首次使用GPU…

golang gin单独部署vue3.0前后端分离应用

概述 因为公司最近的项目前端使用vue 3.0,后端api使用golang gin框架。测试通过后,博文记录,用于备忘。 步骤 npm run build,构建出前端项目的dist目录,dist目录的结构具体如下图 将dist目录复制到后端程序同级目录…

PSO-CNN-LSTM多输入回归预测|粒子群算法优化的卷积-长短期神经网络回归预测(Matlab)——附代码数据

目录 一、程序及算法内容介绍: 基本内容: 亮点与优势: 二、实际运行效果: 三、算法介绍: 四、完整程序数据分享下载: 一、程序及算法内容介绍: 基本内容: 本代码基于Matlab平台…

5 局域网基础(3)

1.AAA 服务器 AAA 是验证、授权和记账(Authentication、Authorization、Accounting)3个英文单词的简称,是一个能够处理用户访问请求的服务器程序,提供验证授权以及帐户服务,主要目的是管理用户访问网络服务器,对具有访问权的用户提供服务。AAA服务器通常…