STM32 硬件随机数发生器(RNG)

STM32 硬件随机数发生器


文章目录

  • STM32 硬件随机数发生器
  • 前言
  • 第1章 随机数发生器简介
    • 1.1 RNG主要特性
    • 1.2.RNG应用
  • 第2章 RNG原理框图
  • 第3章 RNG相关寄存器
    • 3.1 RNG 控制寄存器 (RNG_CR)
    • 3.2 RNG 状态寄存器 (RNG_SR)
    • 3.3 RNG 数据寄存器 (RNG_DR)
  • 第3章 RNG代码部分
  • 第4章 STM32F1 软件模拟RNG


前言

在日常生活中有很多情况都有用到随机数的应用,比如手机验证码、快递取件码等。


第1章 随机数发生器简介

随机数发生器(Random Number Generators,RNG),用于生成随机数的程序或硬件
STM32F4自带了硬件随机数发生器(RNG),RNG 处理器是一个以连续模拟噪声为基础的随机数发生器,在主机读数时提供一个 32 位的(真)随机数。

RNG 已通过 FIPS PUB 140-2( 2001 年 10 月 10 日)测试,成功率达 99%。

注意:M3内核等低端些的的芯片不具有这个硬件随机数发生器,具体需要参考“芯片选型手册”! 如果要产生随机数,只能利用软件方式模拟生成伪随机数。

在这里插入图片描述

在这里插入图片描述

1.1 RNG主要特性

1、提供由模拟量发生器产生的 32 位随机数。
2、两个连续随机数的间隔为 40 个 PLL48CLK 时钟信号周期。
3、通过监视 RNG 熵来标识异常行为(产生稳定值,或产生稳定的值序列)。
4、可被禁止以降低功耗。
5、真随机数:完全随机,毫无规律(STM32的部分型号上具备真随机数发生器)。
6、伪随机数:伪随机数是用确定性的算法计算出的随机数序列,并非真正的随机。

1.2.RNG应用

验证码、快递取件码、贪吃蛇游戏食物坐标等应用。

第2章 RNG原理框图

STM32F4 的随机数发生器框图如下图所示:

在这里插入图片描述

随机数发生器采用模拟电路实现的。此电路产生馈入线性反馈移位寄存器 (RNG_LFSR) 的种子,用于生成 32 位随机数。该模拟电路由几个环形振荡器组成,振荡器的输出进行异或运算以产生种子。

RNG_LFSR 由专用时钟 (PLL48CLK) 按恒定频率提供时钟信息,因此随机数质量与 HCLK 频率无关。当将大量种子引入 RNG_LFSR 后,RNG_LFSR 的内容会传入数据寄存器 (RNG_DR)。同时,系统会监视模拟种子和专用时钟 PLL48CLK。状态位( RNG_SR 寄存器中)指示何时在种子上出现异常序列,或指示何时PLL48CLK 时钟频率过低,出现过低时可以由RNG_SR寄存器的对应位读取,如果设置了中断,则在检测到错误时生成中断。

第3章 RNG相关寄存器

3.1 RNG 控制寄存器 (RNG_CR)

在这里插入图片描述

该寄存器主要用RNGEN 位,该位用于使能随机数发生器,所以设置为 1。

3.2 RNG 状态寄存器 (RNG_SR)

在这里插入图片描述

该寄存器需要用到DRDY 位,该位用于表示 RNG_DR 寄存器包含的随机数数据是否有效,如果该位为 1,则说明 RNG_DR 的数据是有效的,可以读取出来了。读 RNG_DR后,该位自动清零。

3.3 RNG 数据寄存器 (RNG_DR)

在这里插入图片描述

RNG_DR 寄存器是只读寄存器,可以读取该寄存器获得 32 位随机数值。此寄存器在最多 40 个 PLL48CLK 时钟周期后,又可以提供新的随机数值。

第3章 RNG代码部分

由于这个RNG涉及的寄存器比较少,可以直接用寄存器方式来操作即可,就不用库函数方式了,寄存器看上去就比较简洁。

示例代码如下:

//初始化RNG
//返回值:0,成功;1,失败
u8 RNG_Init(void)
{u16 retry=0; RCC->AHB2ENR=1<<6;	//开启RNG时钟,来自PLL48CLKRNG->CR|=1<<2;		//使能RNGwhile((RNG->SR&0X01)==0&&retry<10000)	//等待随机数就绪{retry++;delay_us(100);}if(retry>=10000)return 1;//随机数产生器工作不正常return 0;
}//得到随机数
//返回值:获取到的随机数
u32 RNG_Get_RandomNum(void)
{	 while((RNG->SR&0X01)==0);	//等待随机数就绪  return RNG->DR;	
}//得到某个范围内的随机数
//min,max,最小,最大值.
//返回值:得到的随机数(rval),满足:min<=rval<=max
int RNG_Get_RandomRange(int min,int max)
{ return RNG_Get_RandomNum()%(max-min+1)+min;
}
int main(void)
{u32 random = 0;delay_init(168);        // 初始化 延时函数uart_init(115200);      // 初始化串口,波特率为115200RNG_Init();             // 初始化 RNG 硬件接口while(1){random = RNG_Get_Random_Num();        // 获取一个32位的随机数 printf("random = %d\r\n", random);    // 显示32位随机数 random = RNG_Get_Random_Range(10, 20);// 获取[10, 20]区间内的随机数 printf("random = %d\r\n", random);    // 显示区间随机数 delay_ms(500);}
}

下载例程后,可以在串口看到不断输出随机数了。


第4章 STM32F1 软件模拟RNG

单纯用软件方式模拟生成一个伪随机数

#include "delay.h"
#include "usart.h"
#include <stdlib.h>           //* 使用rand函数,库文件,使用尖括号 *//* 设置随机数的取值区间范围 */
#define RANDOM_MIN    1000    // 随机数最小值
#define RANDOM_MAX    9999    // 随机数最大值int main(void)
{u32 random_value = 0;   // 保存随机值delay_init();           // 初始化 延时函数uart_init(115200);      // 初始化串口,波特率为115200while(1){/* 随机数生成 */random_value = rand();     //产生32位随机数 printf("当前随机数为:%d\r\n", random_value);random_value = rand() % (RANDOM_MAX + 1 - RANDOM_MIN) + RANDOM_MIN;// 产生区间随机数 printf("当前随机数为:%d\r\n", random_value);delay_ms(500);}
}

这种用软件生成的伪随机数只能说是接近实验目的效果,但是并不可取的方案。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/671995.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

三月济南举办2024第八届生物饲料高质量发展论坛

饲料工业发展空间大&#xff0c;产量持续增长&#xff0c;品质与质量也在不断提高&#xff0c;饲料工业是支撑现代畜牧水产养殖业发展的基础产业&#xff0c;是关系到城乡居民动物性食品供应的民生产业。“十四五”时期是我国由全面建设小康社会向基本实现社会主义现代化迈进的…

Xampp中Xdebug的安装使用

工欲善其事&#xff0c;必先利其器 XDebug简介 XDebug 是一个用于 PHP 的调试和性能分析工具。它提供了一系列功能&#xff0c;帮助开发者在开发和调试 PHP 应用程序时更加高效。 以下是 XDebug 的一些主要特性和功能&#xff1a; 调试功能&#xff1a; 断点调试&#xff1a;…

CSS的动画

CSS的动画 在本节&#xff0c;我们将学习keyframes动画。 1. 动画的基本使用 1. 定义动画 定义动画有两种写法&#xff1a; 简单定义方式 keyframes 动画名 {/* from代表初始状态 */from {/*property1:value1*/transform: translate(0%);}/* to代表结束状态 */to {transfor…

海外社媒运营必学:优化Instagram SEO的必学策略

Instagram SEO 是优化 Instagram 内容以使其在平台搜索结果中被发现的做法。如果你希望你可以更快的让你的Ins获得流量&#xff0c;做好SEO就成功了一半。Instagram 搜索结果包括相关内容、帐户、音频、主题标签和地点&#xff0c;下面为你总结10个策略技巧&#xff01; 一、In…

Go语言每日一练链表篇(二)

传送门 牛客面试笔试必刷101题 ---------------- 链表内指定区间反转 题目以及解析 题目 解题代码及解析 package main import _"fmt" import . "nc_tools" /** type ListNode struct{* Val int* Next *ListNode* }*//*** 代码中的类名、方法名、参…

【Leetcode】2641. 二叉树的堂兄弟节点 II

文章目录 题目思路代码结果 题目 题目链接 给你一棵二叉树的根 root &#xff0c;请你将每个节点的值替换成该节点的所有 堂兄弟节点值的和 。 如果两个节点在树中有相同的深度且它们的父节点不同&#xff0c;那么它们互为 堂兄弟 。 请你返回修改值之后&#xff0c;树的根 …

Node.js版本管理工具之_Volta

Node.js包管理工具之_Volta 文章目录 Node.js包管理工具之_Volta1. 官网1. 官网介绍2. 特点1. 快( Fast)2. 可靠(Reliable)3. 普遍( Universal) 2. 下载与安装1. 下载2. 安装3. 查看 3. 使用1. 查看已安装的工具包2. 安装指定的node版本3.切换项目中使用的版本 1. 官网 1. 官网…

利用LLM大模型生成sql的深入应用探究

Chat2DB 是一款有开源免费的多数据库客户端工具,和传统的数据库客户端软件Navicat、DBeaver 相比 Chat2DB 集成了 AIGC 的能力&#xff0c;能够将自然语言转换为 SQL&#xff0c;也可以将 SQL 转换为自然语言&#xff0c;可以给出研发人员 SQL 的优化建议&#xff0c;极大地提升…

【C语言】GtkStack及标签页的关闭

一、GtkStack GtkStack 是 GTK&#xff08;GIMP Toolkit&#xff09;库中的一个容器类&#xff0c;用于管理多个子窗口部件&#xff08;widgets&#xff09;&#xff0c;但在任何给定时间内只显示其中一个。GtkStack 提供了一种在同一个空间位置显示不同内容的方式&#xff0c…

npm修改镜像源

背景&#xff1a;切换npm镜像源是经常遇到的事&#xff0c;下面记录下具体操作命令 1. 打开终端运行"npm config get registry"命令来查看当前配置的镜像源 npm config get registry2. 修改成淘宝镜像源"https://registry.npmjs.org/" npm config set re…

前端工程化之:webpack2-2(内置插件)

目录 一、内置插件 1.DefinePlugin 2.BannerPlugin 3.ProvidePlugin 一、内置插件 所有的 webpack 内置插件都作为 webpack 的静态属性存在的&#xff0c;使用下面的方式即可创建一个插件对象&#xff1a; const webpack require("webpack")new webpack.插件…

浅谈bypass Etw

文章目录 c#ExecuteAssemblybypass etw c# loader 一种是通过反射找到指定空间的类中method进行Invoke 另一种是通过EntryPoint.Invoke加载 反射加载 Assembly.Load()是从String或AssemblyName类型加载程序集&#xff0c;可以读取字符串形式的程序集 Assembly.LoadFrom()从指定…

JVM内存分析与优化

JVM内存模型分析 在minor gc过程中对象挪动后&#xff0c;引用如何修改&#xff1f; 对象在堆内部挪动的过程其实是复制&#xff0c;原有区域对象还在&#xff0c;一般不直接清理&#xff0c;JVM内部清理过程只是将对象分配指针移动到区域的头位置即可&#xff0c;比如扫描s0区…

零基础学编程从哪里入手,在学习中可以线上会议答疑解惑

一、前言 零基础学编程可以先从容易学的语言入手&#xff0c;比如中文编程&#xff0c;然后再学其他编程语言则会比较轻松&#xff0c;初步掌握编程思路。很多IT人士一般学2到3种编程语言。 今天给大家分享的中文编程开发语言工具资料如下&#xff1a; 编程入门视频教程链接…

速度规划:s形曲线应用(变速 停车)opencv c++显示(3)

理论篇 先看该篇&#xff0c;这里沿用了里面的变量。 应用推导篇 分为变速和停车两部分&#xff08;字迹潦草&#xff0c;可结合代码看&#xff09; 代码篇 变速函数入口&#xff1a; velocityPlanner vp; vp.SetParameters(0, 1);停车函数入口&#xff1a; ParkingVelo…

uniCloud ---- schema2code

目录 schema2code有两种方式 label属性 component属性 group属性 应用 DB Schema里有大量的信息&#xff0c;其实有了这些信息&#xff0c;前端将无需自己开发表单维护界面&#xff0c;uniCloud可以自动生成新增、修改、列表、详情的前端页面&#xff0c;以及admin端的列…

电脑小白必看:如何备份电脑系统?

电脑系统坏了怎么办&#xff1f;扛着自己的笔记本或是台式机的主机去电脑修理店花几十上百重装系统&#xff1f;或是自己用光盘或是U盘启动盘花费数小时重新安装系统&#xff1f;还在为系统问题发愁吗&#xff1f;今天&#xff0c;小编给大家一个简单的方法&#xff0c;让你免去…

ERP 系统架构的设计与实践总结

企业资源计划&#xff08;ERP&#xff09;系统是一种集成多个业务功能的综合性软件解决方案。在设计和实践 ERP 系统架构时&#xff0c;需要考虑诸多因素&#xff0c;以确保系统能够满足企业的需求&#xff0c;并提供高效、可靠、安全的服务。本文将介绍一些关键的设计原则和实…

2024Node.js零基础教程(小白友好型),nodejs新手到高手,(六)NodeJS入门——http模块

047_http模块_获取请求行和请求头 hello&#xff0c;大家好&#xff0c;那第二节我们来介绍一下如何在这个服务当中来提取 HTT 请求报文的相关内容。首先先说一下关于报文的提取的方法&#xff0c;我在这个文档当中都已经记录好了&#xff0c;方便大家后续做一个快速的查阅。 …

图像处理之《生成隐写流》论文阅读

一、文章摘要 生成隐写术(GS)是一种新的数据隐藏方式&#xff0c;其特点是直接从秘密数据生成隐写介质。现有的GS方法通常因性能差而受到批评。本文提出了一种新的基于流的GS方法——生成隐写流(GSF)&#xff0c;该方法可以直接生成隐写图像而不需要封面图像。我们将隐写图像生…