vivado CDC约束-约束总线偏移

CDC限制

关于CDC约束

时钟域交叉(CDC)约束适用于具有不同启动和捕获时钟。根据发布和捕获时钟关系以及在CDC路径上设置的定时异常。例如同步时钟之间但被错误路径约束覆盖的CDC路径不定时,并且因此被视为异步CDN。异步CDC路径可以是安全的,也可以是不安全的。安全和不安全的术语异步CDC路径与用于时钟间时序分析的术语不同请参见report_clock_interaction)。异步CDC路径在使用同步电路,以防止捕获顺序单元的亚稳态。有关更多信息,请参阅Vivado Design Suite用户指南中的此链接:设计分析和闭合技术(UG906)。

使用set_false_pathset_clock_groups约束,或通过使用set_max_delay进行部分分析-仅数据路径。此外,多位CDC路径捕获时间分布可能受到限制使用set_bus_skew约束。

约束总线倾斜

关于母线倾斜约束总线偏斜约束用于设置几个之间的最大偏斜要求异步CDC路径。总线偏斜不是与定时相关联的传统时钟偏斜路径相反,它对应于所有路径上的最大捕获时间差由相同的set_bus_skew约束覆盖。总线偏斜要求适用于两个Fast和慢速拐角,但不会跨拐角进行分析。

总线偏斜约束的目的是限制可以启动的源时钟边沿的数量数据,并由单个目的地时钟边沿捕获。容忍度取决于疾病控制与预防中心用于受约束路径的同步方案。通常使用总线偏斜约束对于以下CDC拓扑结构:

•格雷码总线传输,如异步FIFO

•使用CE、MUX或MUX Hold电路实现的多位CDC

•配置寄存器

尽管set_bus_skew命令不会阻止在安全定时的同步CDC,不需要这样的约束。设置和保持检查已经确保了在两个安全定时的同步CDC路径之间的安全传输。总线偏斜约束的CDC场景为:

总线偏斜约束的CDC场景为:

•覆盖了set_clock_groups的异步CDC

•异步CDC完全覆盖了set_false_path和/或set_max_delay-仅数据路径

•覆盖有set_false_path和/或set_max_delay的同步CDC路径-仅数据路径

总线偏斜约束不是定时例外;相反,这是一个时间断言。因此,它不干扰定时异常(set_clock_group、set_false_path、set_clock_group),set_max_delay、set_max_delay-datapath_only和set_multipcycle_path)和他们的优先权。总线偏斜约束仅通过route_design命令进行优化。报告set_bus_skew约束,使用命令行中的report_bus_skew命令或报告 → 时间安排 → 从GUI报告总线倾斜。未报告总线偏斜约束在Timing Summary报告(report_Timing_Summary)中。

set_bus_skew命令的语法

带有基本选项的set_bus_skew命令的语法为:

set_bus_skew [-from <args>] [-to <args>] [-through <args>] <value>

-from选项的对象列表应该是有效起点的列表。的有效起点set_bus_skew是顺序元件的时钟引脚,如寄存器或RAM,或单元它本身set_bus_skew不支持输入(或inout)端口。-to选项的节点列表应该是有效端点的列表。的有效终结点set_bus_skew是顺序单元格或单元格本身的数据引脚。输出(或输入输出)端口不是由set_bus_skew支持。

through选项的节点列表应该是有效引脚或网络的列表。总线偏斜值必须现实合理。AMD建议使用更大的值超过源时钟和目标时钟的最小周期的一半。的建议值总线偏斜还取决于CDC拓扑结构,如以下示例所示。

set_bus_skew示例一

在本例中,CDC是握手机制的一部分。源时钟域生成当数据可用于采样时发送信号。目标时钟域使用4阶段用于发送信号的同步器。在4级同步器之后,信号驱动时钟启用CDC目标寄存器的引脚。在这种时钟使能控制CDC结构中总线偏斜必须根据CE路径上的级数进行调整,因为它代表数据有效的目标时钟周期数。

如果源时钟周期为5ns,而目标时钟周期为2.5ns,则总线在CDC路径应设置为10 ns(4×2.5 ns)。

set_bus_skew -from [get_cells src_hsdata_ff_reg*] -to [get_cells
dest_hsdata_ff_reg*] 10.000
set_max_delay -datapath_only -from [get_cells src_hsdata_ff_reg*] -to
[get_cells dest_hsdata_ff_reg*] 10.000

set_bus_skew示例二

在这个例子中,疾病控制与预防中心在一辆灰色编码的公交车上。系统必须确保只有一个目的时钟域同时捕获灰度编码总线的转变。如果源时钟周期为5ns,而目标时钟周期为2.5ns,则总线在CDC路径应设置为2.5 ns(目标时钟周期)。

set_bus_skew -from [get_cells src_gray_ff_reg*] -to [get_cells
{dest_graysync_ff_reg[0]*}] 2.500
set_max_delay -datapath_only -from [get_cells src_gray_ff_reg*] -to
[get_cells
{dest_graysync_ff_reg[0]*}] 5.000

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/586411.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

vue 导出 HTML 结构为 Word 文档(.docx)-支持表格、css样式、图片

在 Web 开发中&#xff0c;有时我们希望用户能够将网页上的 HTML 内容保存为 Word 文档&#xff0c;以便更方便地分享和打印。本文将介绍如何使用 html-docx-js 和 file-saver 这两个 JavaScript 库&#xff0c;实现将 HTML 结构导出为 Word 文档的功能。 工具简介 1. html-d…

lv13 内核模块参数和依赖

1 模块传参 1.1 模块参数设置 将指定的全局变量设置成模块参数 module_param(name,type,perm);//将指定的全局变量设置成模块参数 /* name:全局变量名 type&#xff1a;使用符号 实际类型 传参方式bool bool insmod xxx.ko 变量名0 …

进阶学习——Linux系统磁盘管理与文件系统

目录 一、磁盘 1.认识磁盘 2.分区 2.1MBR&#xff08;Master Boot Record&#xff09;——主引导记录 2.2GPT分区 2.3磁盘分区结构 3.文件系统 3.1文件系统组成 3.1.1XFS ext4 3.1.2swap 3.1.3FAT16、FAT32 3.1.4NTFS&#xff08;xfs&#xff09; 3.1.5EXT4 3…

[Angular] 笔记 9:list/detail 页面以及@Output

1. Output input 好比重力&#xff0c;向下传递数据&#xff0c;list 传给 detail&#xff0c;smart 组件传给 dumb 组件&#xff0c;父组件传给子组件。input 顾名思义&#xff0c;输入数据给组件。 output 与之相反&#xff0c;好比火箭&#xff0c;向上传递数据或事件。ou…

云计算IaaS、PaaS和SaaS之

提供的服务来比较如下两图 示例图 示例图

整数规划-割平面法

整数规划-割平面法 割平面法思想Gomorys割平面法原理实例 谨以此博客作为学习期间的记录。 割平面法思想 在之前&#xff0c;梳理了分支定界法的流程:分支定界法 除了分支定界法&#xff0c;割平面法也是求解整数规划的另一个利器。 我们已经知道&#xff0c;线性规划的可行域…

vscode软件安装步骤

目录 一、下载软件安装包 二、运行安装包后 一、下载软件安装包 打开vscode官方网址&#xff0c;找到下载界面 链接如下&#xff1a;Download Visual Studio Code - Mac, Linux, Windows 我是windows电脑&#xff0c;各位小伙伴自己选择合适的版本&#xff0c;点击下载按钮…

基于虚拟机ubuntu的linux和shell脚本的学习,以及SSH远程登陆实战

简介 特点 是一款操作系统,跟windows,macos一样,有下面的特点 简单和高效,一切皆文件,所有配置都通过修改文件解决,不需要繁琐的权限和设置 权限高,把所有细节都交给用户,可完全自定义 安全,所有程序只有自己执行才会启动 分类 1、debian系主要有Debian&#xff0c;Ubun…

ESP32入门六(读取引脚的模拟信号[3]:信号出现误差的原因[硬件篇])

在之前的文章中&#xff0c;我们介绍了ESP32在读取模拟信号时出现的误差的软件方面原因&#xff0c;在这一篇中&#xff0c;将会介绍并测试由于硬件或其它方面导致数据出现误差的原因。 一、厂商原因 首先&#xff0c;我们需要知道&#xff0c;在每块EPS32中&#xff0c;在出…

海凌科HLK-V2语音识别模块更新词条

简介 HLK-V20 是海凌科的离线语音识别模块, 中英文不同时支持, 只支持中文/英文, 具体识别看每次的SDK更新设置;资料下载 可以在微信公众包搜索海凌科或HI-LINK, 下载资料 感知模块->HLK-V20 模块限制 中英文被限制, 需要根据你在官网设置的SDK信息进行确定;可以仅设置3…

goframe v2 模板引擎的用法

这里用的goframe v2框架 提醒&#xff1a;下面的import 引入的控制器和api&#xff0c;根据自己实际项目路径 main函数 import ("context""github.com/gogf/gf/v2/net/ghttp""github.com/gzdzh/dzhgo/modules/dzhCms/controller/web""gith…

STM32移植LVGL图形库

1、问题1&#xff1a;中文字符keil编译错误 解决方法&#xff1a;在KEIL中Options for Target Flash -> C/C -> Misc Controls添加“--localeenglish”。 问题2&#xff1a;LVGL中显示中文字符 使用 LVGL 官方的在线字体转换工具&#xff1a; Online font converter -…

深入浅出理解TensorFlow的padding填充算法

一、参考资料 notes_on_padding_2 二、TensorFlow的padding算法 本文以TensorFlow v2.14.0版本为例&#xff0c;介绍TensorFlow的padding算法。 1. 引言 tf.nn.conv2d and tf.nn.max_pool2d 函数都有padding参数&#xff0c;在执行函数之前&#xff0c;都需要进行填充padd…

数字资产学习笔记

附&#xff1a;2023年数据资源入表白皮书下载&#xff1a; 关注WX公众号&#xff1a; commindtech77&#xff0c; 获得数据资产相关白皮书下载地址 1. 回复关键字&#xff1a;数据资源入表白皮书 下载 《2023数据资源入表白皮书》 2. 回复关键字&#xff1a;光大银行 下载 光…

基于 Vue3 和 WebSocket 实现的简单网页聊天应用

首先附上项目介绍,后面详细解释技术细节 1. chat-websocket 一个基于Vue3和WebSocket的简易网络聊天室项目&#xff0c;包括服务端和客户端部分。 项目地址 websocket-chat 下面是项目的主要组成部分和功能&#xff1a; 项目结构 chat-websocket/ |-- server/ # WebSocket 服…

二分查找(非朴素)--在排序数组中查找元素的第一个和最后一个位置

个人主页&#xff1a;Lei宝啊 愿所有美好如期而遇 目录 本题链接 输入描述 输出描述 算法分析 1.算法一&#xff1a;暴力求解 2.算法二&#xff1a;朴素二分算法 3.算法三&#xff1a;二分查找左右端点 3.1查找左端点 3.1.1细节一&#xff1a;循环条件 3.1.2细节二…

pycharm找回误删的文件和目录

昨天不知道做了什么鬼操作&#xff0c;可能是运行了几个git命令&#xff0c;将项目里面的几个文件删除了&#xff0c;有点懵。 我知道pycharm可以找回文件的历史修改记录&#xff0c;但是对于删除的文件能否恢复&#xff0c;一直没试过。 找到删除文件的目录&#xff0c;点击右…

解决RestHighLevelClient报错missing authentication credentials for REST request

使用ElasticSearch Java API时遇到错误 "missing authentication credentials for REST request" 这是代码: RestHighLevelClient esClient new RestHighLevelClient(RestClient.builder(new HttpHost("localhost",9200,"http")));CreateIndexR…

utf8mb4_0900_ai_ci、utf8mb4_0900_as_ci、utf8mb4_0900_as_cs 这三者有什么区别

utf8mb4_0900_ai_ci, utf8mb4_0900_as_ci, 和 utf8mb4_0900_as_cs 是 MySQL 数据库中使用的字符集和校对规则。这些校对规则决定了如何比较和排序字符数据。它们属于 utf8mb4 字符集&#xff0c;这是 UTF-8 编码的超集&#xff0c;支持最多 4 个字节的字符&#xff0c;能够存储…

【开源】基于JAVA语言的创意工坊双创管理系统

目录 一、摘要1.1 项目介绍1.2 项目录屏 二、功能模块2.1 管理员端2.2 Web 端2.3 移动端 三、系统展示四、核心代码4.1 查询项目4.2 移动端新增团队4.3 查询讲座4.4 讲座收藏4.5 小程序登录 五、免责说明 一、摘要 1.1 项目介绍 基于JAVAVueSpringBootMySQL的创意工坊双创管理…