如何知道自己的CPU支持SLAT

因为WP8 SDK发布,很多WP8的开发者们也开始陆续安装WP8的SDK的,然而安装WP8的SDK有很多软件和硬件的要求,其中有一个就是——要求CPU支持二级地址转换(SLAT),如果CPU不支持二级地址转换的话,在电脑上就不能运行Windows Phone的模拟器来测试自己开发的程序了,对于二级地址的转换到底是什么意思,我在这里就不解释了,大家可以通过网上查下具体的含义,这里就介绍下如何知道自己的CPU支持二级地址转换的。

通过微软发布的一个工具就可以检测自己的CPU是否支持二级地址转换的, 这个工具的名称叫——Coreinfo,大家可以从这个链接下载:http://technet.microsoft.com/en-us/sysinternals/cc835722,下载之后把zip文件解压到任何一个磁盘下(我是放在F盘根目录下),然后以管理员权限运行CMD命令后,切换到F磁盘,输入下面的命令就可以查看自己的CPU是否支持二级地址转换的:

coreinfo.exe –v (-v就是view的意思,coreinfo就是处理器的信息,-v就是查看处理器的信息)

下面是我电脑的一个结果解脱的:

“*”表示支持,“-”表示不支持,不支持的截图如下(下面是评论中峰回路转不支持二级地址截图,这里拿过来与大家分享下):

  没想到我I3的处理器也支持SLAT的,开始还打算买台新电脑来做WP8的开发的, 看来现在没有这个必要了, 而且今天自己在京东上买了一个2G的DDR3的内存条并且成功的安装在了自己的笔记本电脑上的, 现在电脑是4G,突然感觉到速度快了好多的,接下来的一步就是把系统换成Windows 8的操作系统,再安装WP8 的SDK开始我的WP8的开发之旅.

转载于:https://www.cnblogs.com/lingzhao/p/3567135.html

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/493454.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

opencv基础知识及其一些例子

opencv官网 一.插值法 1.最近邻插值 上图可看出f(p)f(Q11),缺点可能出现明显的块状。 2.线性插值 3.双线性插值 通过线性插值确定R1和R2,最终在确定P 二.cv2.putText 图片添加文字 import cv2 img cv2.imread(caijian.jpg) font cv2.FONT_HERSHEY_SIMPLEXimgzi cv2.putT…

Verilog HDL语言设计实现过程赋值+译码器

完成课本例题6.11、6.12,进行综合和仿真(功能仿真),查看综合和仿真结果,整理入实验报告。 6.11 module shiyan21(in,clk,out1,out2); input clk,in; output out1,out2; reg out1,out2; always (posedge clk) beg…

【工业4.0】深度报告:独家解密工业4.0真正图谋?跟踪软件帝国的十年

来源:知识自动化要理解工业4.0,就避不开对西门子的研究;而要看懂西门子,不要去要看它的硬件,而要去看它的软件。数字化工厂只是水中花,真正的花朵不在你眼前,而在你身头。德国安贝格和成都的数字…

检测系列--RCNN系列

一.RCNN 框架: 1.selective search 2,区域预处理,尺寸缩放到227227 3.利用Alexnet(去掉最后分类层,4096维向量),做特征提取,一个物体一个SVM分类,(当时认为SVM比softmax分类好)bounding box回归 4.正负样本…

Verilog HDL语言设计实现D触发器+计数器

分别采用结构描述和行为描述方式设计一个基本的D触发器,在此基础上,采用结构描述的方式,用8个D触发器构成一个8位移位寄存器。进行功能仿真,查看结果,把上述内容整理到实验报告。(1)行为描述:单…

2018年全球十大新兴技术:细胞植入人体、营养人造肉……你想试试吗?

来源:光明日报摘要:在不久的将来,技术革新将如何改变我们的生活?人工智能将大幅提升新药物和新材料的开发速度;新型诊断工具将打造更先进的个性化医疗;如果你生病了,医生将可以在你体内植入活细…

检测系列--YOLO系列

开头语:RCNN系列,需要区域候选框,即便最后是多任务损失函数,但回归和分类各是一块是很明显的,而yolo要把分类问题转换成回归,这样的話就全是回归。 一.yolo v1 1,介绍,此时输入size要一致448*…

Verilog HDL语言实现ROM、RAM+有限状态机

利用MegaWizard实现创建RAM和ROM。(1)建立1个32单元8bit的RAM,并将0-31填入该RAM;(2)建立1个32单元8bit的ROM,建立.mif文件填入数据,并读出来显示。 (1)、RAM 功能代码: module sh…

重磅!亚马逊将在2019年全面弃用Oracle数据库

来源:AI 前线摘要:11 月 29 日,AI 前线获悉,亚马逊 AWS 首席执行官 Andy Jassy 在正在美国拉斯维加斯召开的 AWS re:Invent 2018 中表示:到 2019 年底,亚马逊将全面放弃使用 Oracle 数据库&…

数字图像处理实验6图像编码

一、实验目的 (1)了解图像编码的目的及意义,加深对图像编码的感性认识。 (2)熟练掌握哈夫曼编码的实现与应用。 (3)掌握行程长度编码的实现与应用,尤其是BMP和PCX文件的行程长度编…

机器人日行十万步却无需动力源!究竟如何完美的机械结构让你开始怀疑人身...

来源:机器人大讲堂摘要:上图这个机器人可不简单,它是世界纪录的保持者,目前最新的纪录是连续步行27小时,总长72公里(相当于十万步还要多)。而最最关键的是,它完全凭借自己完美的机械…

数字图像处理实验5图像复原

一、实验目的 (1)了解图像复原的目的及意义,加深对图像复原理论的认识。 (2)掌握维纳滤波复原基本原理。 (3)掌握约束最小二乘方复原方法。 (4)掌握盲解卷积复原方法…

MVVM模式的一个小例子

使用SilverLight、WPF也有很长时间了,但是知道Binding、Command的基本用法,对于原理性的东西,一直没有深究。如果让我自己建一个MVVM模式的项目,感觉还是无从下手,最近写了一个小例子,贴上代码,…

实现两个点集的欧式距离和cos距离和索引值寻找(含有两种解法,for循环和矩阵操作)

一.计算欧式距离 1,直接for循环 两个点集points1,points2,用dist来存储距离 points1np.array([[1,2],[3,4]]) points2 np.array([[5, 6],[7,8]]) dist np.zeros(shape[points1.shape[0],points2.shape[0]]) for i in range(points1.sha…

数字图像处理实验四图像频域增强

一、实验目的 (1)了解图像增强的目的及意义,加深对图像增强的感性认识,巩固所学的图像增强的理论知识和相关算法。 (2)熟练掌握低通、高通、带通、同态滤波器的使用方法,明确不同性质的滤波器…

卡耐基梅隆大学专家谈核心技术市场化:「AI 周边相关机遇最大」

来源:ZDnet、机器之能编译 | 张玺摘要:技术市场化之难在哪?创业家最常犯什么错误?每位立志创业的朋友都应该研究下这篇对卡耐基梅隆 (CMU) 大学专家的采访。CMU 是全美技术转移最成功的机构之一,斥巨资帮助全校师生实现…

数字图像处理实验三图像增强

一、实验目的 (1)了解图像增强的目的及意义,加深对图像增强的 感性认识,巩固所学的图像增强的理论知识和相 关算法。 (2)熟练掌握直方图均衡化和直方图规定化的计算过 程。 (3)熟…

matplotlib与seaborn的一些使用

1.plt.plot画线画点 a np.array([[1, 2], [3, 4]]) print(a[:, 0]) plt.plot(a[:, 0], a[:, 1]) plt.show() plt.plot(a[:, 0], a[:, 1], o,colorred) plt.show()#添加风格 plt.plot(x,y,colorred,linewidth1.0,linestyle--) #设置x轴范围 plt.xlim((-1,2)) # …

无人驾驶汽车想要“普渡众生”,还要经历15个磨难

来源:Forbes 、网易智能摘要:无人驾驶汽车的未来与电动平衡车的历史有什么关系吗?电动平衡车也曾被预言将彻底改变交通。史蒂夫•乔布斯曾经说,城市将围绕这一设备重新设计;约翰•杜尔说,它将比互联网更大…

SQL的各种使用方法

一、Select语句例子 使用子查询查询employees表中,属于某一部门员工的姓名、职位、工薪、部门编号的信息 提示: 1)、需要关联employees表、departments表 2)、已知的信息为部门名称,部门名称由用户自己给出 按照要求写出SQL语句。 答案及…